Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

looking for both verilog and vhdl expert

Status
Not open for further replies.

brunokasimin

Member level 4
Joined
Jun 13, 2008
Messages
70
Helped
2
Reputation
4
Reaction score
2
Trophy points
1,288
Activity points
1,828
hello,

Is anyone out there expert in both verilog and vhdl?? i really need help!!
thx in adv

regard,
bruno
 

What is your problem? may be I can help you?
 

hello,
thx for ready to help me. i learned only VHDL codes and i don't understand verilog. I need to translate few verilog modules as soon as possible. To learn Verilog is also time consuming, thats why i need someone who can help me..

Here is the first code:

`define TECH_ALTERA
module wb_bram (
clk,
rst,
ce,
we,
addr,
dati,
dato,
ack
);

parameter ASIZE = 12;

reg [31:0] memory [ASIZE-3:0];
input [ASIZE-1:0] addr;
input clk;
input rst;
input ce;
input we;
input [31:0] dati;
output [31:0] dato;
output reg ack;

wire ceint;

assign ceint = ce && ~ack;

always @(posedge clk)
begin
ack <= 0;
if (ceint) begin
ack <= 1;
end
end


`ifdef TECH_ALTERA

wire wr;
assign wr = ce & we;

initial $display("Using Altera LPM.");

lpm_ram_dq lpm_ram_dq_component (
.address(addr),
.inclock(clk),
.outclock(clk),
.data(dati),
.we(wr),
.q(dato)
);

defparam lpm_ram_dq_component.lpm_width = 32,
lpm_ram_dq_component.lpm_widthad = ASIZE,
lpm_ram_dq_component.lpm_indata = "REGISTERED",
lpm_ram_dq_component.lpm_address_control = "REGISTERED",
lpm_ram_dq_component.lpm_outdata = "REGISTERED",
lpm_ram_dq_component.lpm_hint = "USE_EAB=ON";
`endif // TECH_ALTERA

endmodule
 

i will give 30 points if it is fully translated/almost
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top