Looking for a VHDL code for square root for a 48 bit input

Status
Not open for further replies.

rakesh045

Newbie level 6
Joined
May 3, 2009
Messages
13
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
India
Activity points
1,378
can anyone provide a VHDL code for square root for a 48 bit input...
the link in csee.umbc.edu is for 8 and 32 bit... extending it seems complicated
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…