Looking for a division (integer) in VHDL

Status
Not open for further replies.

yodathegreat

Member level 3
Joined
Feb 23, 2002
Messages
56
Helped
1
Reputation
2
Reaction score
0
Trophy points
1,286
Activity points
360
Hi All,

I'm looking for a division (integer) in VHDL,
but in many cycle. (pipilned)

Because my division in one cycle is very very exprensive on my FPGA.

Normally is the shift and substract method.

best regards
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…