Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

link form modelsim to work with XILINX ISE

Status
Not open for further replies.

syedshan

Advanced Member level 1
Joined
Feb 27, 2012
Messages
463
Helped
27
Reputation
54
Reaction score
26
Trophy points
1,308
Location
Jeonju, South Korea
Activity points
5,134
Dear all,
Can any one give me a link for modelsim free edition to work with ISE 13.2.

I cannot find it on xilinx web. Bad searching I guess...:(

Any ways please also do tell the limitations of student edition of modelsim free edition.

Many thanks
 

Dear all,
Can any one give me a link for modelsim free edition to work with ISE 13.2.

I cannot find it on xilinx web. Bad searching I guess...:(
Xilinx doesn't include modelsim with ISE anymore for years now. You can use ISim, which is included with ISE 13.2. If you really want modelsim, you can download it here.

Any ways please also do tell the limitations of student edition of modelsim free edition.

You can read all about that here.
 

Thank you for your kind response...

But PE does nor support mixed language support, my design in verilog, while Steller IP generate VHDL files...
so I need something suitable for that purpose...

Can you guide me in the following: I am copying it from the tutorial of the Stellar IP:

Modelsim is required in order to simulate projects created by StellarIP and compiled using Xilinx tools. Different simulators can be used to simulate the design, but the various Modelsim macros (compile.do) should be rewritten in consequence.

So can do you knwo how to do that... or guide me a way atleast

Thanks any :)

- - - Updated - - -
 

So use a simulator that supports mixed language? :p Can't have it both ways. Well maybe you can, but no cake for you my friend!

i.e use a version of modelsim that does support it.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top