ise 12.1 implementation problem

Status
Not open for further replies.

hodahussein

Full Member level 2
Joined
Apr 17, 2007
Messages
144
Helped
2
Reputation
4
Reaction score
2
Trophy points
1,298
Activity points
2,276
dear all

after i writen vhdl code and synthesizes it is ok. when i do implement design this message appear
ERROR:Security:9 - No 'ISE' nor 'WebPack' feature was available for part
ERROR:Map:258 - A problem was encountered attempting to get the license for this architecture.

can any one help me please
 

Are you using the free webpack or the evaluation version? There is a problem with your xilinx license
 

yes i am using free webpack, so what is best solution
 

Status
Not open for further replies.

Similar threads

Cookies are required to use this site. You must accept them to continue using the site. Learn more…