[SOLVED] Is there any reference for debug C code in Simvision ,Many THX

Status
Not open for further replies.

my2817

Newbie level 4
Joined
Sep 1, 2011
Messages
6
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,320
In system Verilog , I use DPI to call a function writed by C, but I can't debug C code in simvision . I'm waiting Someone to tell me what next to do, as the following picture, the value of variable "a" do not update every time, it's my firs time to do use DPI interface, waiting your HELP, Thx a lot.
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…