interfacing between keyboard and Spartan-3E using VHDL

Status
Not open for further replies.

Cutey

Member level 2
Joined
Nov 6, 2009
Messages
51
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
1,607
ask for VHDL

Hi all
if there is any one can help me about how to interfacing between keyboard and Spartan-3E using VHDL ...please
thanks

Topic heading edited
Nandhu
 

Re: ask for VHDL

Cutey said:
Hi all
if there is any one can help me about how to interfacing between keyboard and Spartan-3E using VHDL ...please
thanks

Topic heading edited
Nandhu
There are useful book named "FPGA Prototyping by VHDL Examples"
**broken link removed**
There you'll find the example. Though the author works with PS/2 board. Unfortunatelly you had not mentioned which interface do you need.
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…