interfacing an IP with Avalon bus

Status
Not open for further replies.

yamine

Member level 1
Joined
May 4, 2012
Messages
37
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
1,507
hi,
i created a bloc in vhdl language, how can i interface it with avalon bus ?
 

is there anyone who have a simple example of using avalon bus with a slave ip ?

thank you in advance
 

thank you for reply,
am looking for a simple example in vhdl
 

thank you for reply,
am looking for a simple example in vhdl

1/ you can connect your code to the verilog slavetemplate.
2/ if you want vhdl : you can download "Embedded SoPC Design with Nios II Processor and VHDL Examples"
 
Reactions: yamine

    yamine

    Points: 2
    Helpful Answer Positive Rating
Thank you,
problem resolved
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…