Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

integer division in VHDL synthesis

Status
Not open for further replies.

amburose

Junior Member level 3
Joined
Jun 21, 2007
Messages
29
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,496
vhdl division

in my project simulation is done..

but in synthesis cant do integer division...

eg: c<=(K*N)/T;

K,N,T are inputs...

how to solve it....
if anybody know please help me.....
 

division in vhdl

if you are dividing the number by 2^n means(2,4,8,16,32.......)
then you can shift left directly the number by n bits.
 

division vhdl

Another possible approach is to tabulate 1/T in a Block ROM, and then multiply (K*N) * (1/T)
 

vhdl division integer

What is the targeted fpga?
 

integer division in vhdl

Hi everybody,

i'am trying to do just this:
varU2int <= U2 /2; with (varU2int : integer range 0 to 1023 :=0 ;)

and my problem is: i have some bit like varU2int(10) on 'U' and not (0 or 1)
how i can avoid this?
 

division en vhdl

U2 / 2 is the same as doing 1 right shift to U2
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top