Implementing 2 dimensional FFT on FPGA

Status
Not open for further replies.

jyt_19

Newbie level 3
Joined
Apr 5, 2012
Messages
3
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,283
Activity points
1,300
i want to write verilog code for 2 dimensional FFT which needs defining a 2 dimensional matrix and every element in this matrix is an array of 15 ...can anyone tell me how to write data in matrix..one way is defining an array of (no of rows* no of columns)..is der any other method?
and also matrix transformation is neccessary here...pls help me
 

Status
Not open for further replies.

Similar threads

Cookies are required to use this site. You must accept them to continue using the site. Learn more…