@ kalyan
Thanks for sharing an idea. As a first step i tried to implement ring buffer referring one of the threads in this edaboard.
But i am worried that i didnt get expected waveform..
Can u have a look to fix this??
Thanks,
KSR.
Added after 1 hours 34 minutes:
@kalyan,
i am sorry some how i lost the names of the ports in wave form...
here i give
(top down to bottom)
clk
reset
wr(write)
rd(read)
w_data(input data)
r_data(output data)
empty
full..
_________________________
heres the entity of my code..
entity fifoeda is
generic (
B : natural :=8; -- number of bit
W: natural :=4 -- number of address bit
);
port (
clk, reset : in std_logic;
rd, wr : in std_logic;
w_data : in std_logic_vector ( B-1 downto 0);
empty, full : out std_logic;
r_data : out std_logic_vector (B-1 downto 0)
);
end fifoeda;