Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

I want to learn program CPLD using CPLD4064ZC

Status
Not open for further replies.

bubuta77

Member level 4
Joined
Jul 6, 2008
Messages
78
Helped
19
Reputation
38
Reaction score
18
Trophy points
1,288
Location
Israel
Activity points
1,749
Hi
i have some old PCB board with CPLD4064ZC mounted on (Not EB or DK) it. I know how to burn ready files into CPLD ( i am new so for a while its only thing that i know) but i want to know with which program i can make new projects for my learning purposes.
CPLD4064ZC of Lattice semiconductors.
thanks a lot
 

Thanks a lot. Do you have/reccomend a some tutorials/books to start?
 

Sorry, I can't really help with that. I've always used schematic capture for my CPLD designs, and am limited to hacking existing code for anything larger. I just learn it as I go along (I'm only an hobbyist in this stuff).

Lattice don't seem to have much themselves that looks suitable for a beginner, and most of the things you will find on the web are more targeted towards Altera or Xilinx. Any general CPLD, VHDL or Verilog guides should be largely applicable to Lattice parts though, even if produced by another manufacturer. The principles are still the same. Just use them in conjunction with the ispLever help and the part's datasheet so you know your part's capabilities.

This might be useful:

**broken link removed**

Hopefully, someone might offer some more specific advice...
 
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top