Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to view a sinewave in Modelsim?

Status
Not open for further replies.

vinodkumar

Full Member level 5
Joined
Oct 5, 2006
Messages
251
Helped
12
Reputation
24
Reaction score
3
Trophy points
1,298
Location
hyderabad
Activity points
2,822
hi,can we view a sinewave in modelsim,i saw a waveform in this board.but how it is possible,wht options should we specify.
 

Re: sinewave

ya... it is possible...when you view the wave form you right click on the signal name change its format to analog...
 

    vinodkumar

    Points: 2
    Helpful Answer Positive Rating
Re: sinewave

hi,i observed this option of changing to analog,but my doubt is how to get sinusoid in vhdl or verilog,from there only i can simulate it and view,any how thanq for ur help plz respond.
 

sinewave

Both Verilog and VHDL provide math functions such as sin(), cos(), sqrt(), etc.
They are very helpful in simulation, but remember synthesis tools usually don't support them.
 

Re: sinewave

hi echo47,thanq for reply,but i want sinusoidal synthesizable,can i use Digital frequency synthesizer of DCM,becoz i want to implement PSK.
 

sinewave

Do you mean the DCM in a Xilinx FPGA? It has a synthesizer for generating square wave clocks at various frequencies, but it won't be very useful for making sinewaves.

A DDS (Direct Digital Synthesizer) is one easy way to make very good numerical sinewaves. Check your software documentation, you may already have a DDS library component or core. For example, Xilinx ISE 9.1i Core Generator includes "DDS Compiler 2.0" and "Direct Digital Synthesizer 5.0".
 

Re: sinewave

you can use look up tables for this...i think frequency synthesis might have some latency....
 

Re: sinewave

hi,i saw that but i didn't understand becoz sine has been given has bits,than how to view as sine
 

Re: sinewave

In the Modelsim waveform window, select the signal in question, right click and select Format.. -> Analog... and then configure it to display the way you'd like to see it..
 

after changing it to analog in wave properties am not able to get the sine wave , is it a matter concerned with the version of modelsim ?? this question arises becoz am trying to generate a sine wave for my project
 

Check by expanding and zooming in window

Atleast some signal should be visible

If not check ur digita data in hex

Is it a sine/cos data in hex format?

Check the above two points first.
 
thank u very much i got it

---------- Post added at 17:52 ---------- Previous post was at 17:47 ----------

as a new bee into vhdl i have a question what are those
1.analog step
2.analog interpolated
3.analog backstep
in wave properties after changing it to analog ??
 

How to see a sine waveform in xilinx 14.2...

- - - Updated - - -

i need it in simulation.. plz as soon as possible do reply..
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top