Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to use pli.a and vcspli.tab to produce a fsdb file in PC environment?

Status
Not open for further replies.

mediatek

Member level 1
Joined
Sep 5, 2003
Messages
41
Helped
1
Reputation
2
Reaction score
0
Trophy points
1,286
Activity points
334
How to using pli.a and vcspli.tab (Provide by Novas Debussy)to produce a .fsdb file in PC enviroment (using nc-Verilog or VCS )?

(Debussy user guild only show how to call Pli.a and vcspli.tab to produce .fsdb in Workstation ).
 

pli for debussy

add the debussy pli option into the vcs command line as a parameter. pls refer to the vcs manual.
and add the fsdbdump system task in your testbench to dump the waveform.
 

vcspli.tab

In nc-verilog(PC Version),
How to do this ?
 

vcs fsdb setenv

Hi,

I will give the procedure. Try it or I shall give one more.

In unix platform.
1. setenv LD_LIBRARY_PATH <path for debpli.so file> :$LD_LIBRARY_PATH

(path for debpli.so file (<Debussy installation directory>/share/PLI/nc_xl/<the os u use>/nc_loadpli1))

2. while invoking ncverilog use the +ncloadpli1 option.

ncverilog -f run.f +debug +ncloadpli1=debpli:deb_PLIPtr


Regards,
GP
 

debussy pli vcs

copy the libpli.dll (in debusy_install_path\share\PLI\nc_xl\WINNT\shared)
to "ldv_install_path\LDV\tools\bin"
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top