how to use .coe file in xilinx

Status
Not open for further replies.

emerson_11

Member level 2
Joined
Jan 23, 2016
Messages
44
Helped
1
Reputation
2
Reaction score
1
Trophy points
8
Activity points
355
i am trying to read my image pixels in my verilog code for implementation.i created a sample .coe file containing five 32bit binary values and using core generator i have created a BRAM of width 32 and depth 16 and i have initialized the bram with this .coe file. Now i want to do some multiplications with the values in BRAM. How could i read values from BRAM? Can anyone help me clearly?
 

i read the forums. Still am not clear about my doubt. Can you tell me simply about how to read data from BRAM into my verilog code ?
 

Can you tell me simply about how to read data from BRAM into my verilog code ?

"Simply" is a relative term!

But if my definition of 'simply' matches with your definition of 'simply', then all you need to so is to place the address, chip_enable(if any) and read_enable at the rising edge of the clock and then on the data bus you should get your data after 1 or 2 clock cycle latency.
Simple right?

It is a different story if you are indirectly suggesting someone to provide the verilog code.
 
Last edited:

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…