Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to store a negative fractional number to a memory or variable

Status
Not open for further replies.

Praseetha

Newbie level 3
Joined
Feb 9, 2015
Messages
3
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
22
Hi all
In vhdl coding, i have used sfixed(16 downto -12) type.
but while compiling it is shown that sfixed is not declared.
Can anyone plz help me to resolve this problem.
 

Hi all
In vhdl coding, i have used sfixed(16 downto -12) type.
but while compiling it is shown that sfixed is not declared.
Can anyone plz help me to resolve this problem.
You have to include the fixed point package with a 'use' statement, just like you would any other package.
 
Fixed point package in vhdl

Hi
i am using Actel libero 9.0.0.15 version. I have to use sfixed or ufixed types to represent some of my coefficients of filter like 0.0125, -0.125, 3.125 etc. But the problem is that while i am using this type error is showing while compiling the hdl file. the error shown is "sfixed is not declared". I used library ieee_proposed and the package fixed_pkg.
How can i resolve this issue. Please someone help me.
thanking You
 

Depending on the library configuration of your tool, it may be necessary to compile the packages explicitely into your project.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top