how to set many libraries to Modelsim simulatio environment?

Status
Not open for further replies.

guiliu

Junior Member level 3
Joined
Oct 10, 2006
Messages
25
Helped
3
Reputation
6
Reaction score
2
Trophy points
1,283
Activity points
1,466
vsim-3170

I have a lot of resource libraries, which are used for gate-level simulation.

It is not possible to compile them one by one.

How can I set them into Modelsim simulation environment at the same time?

Thanks a lot!
 

vsim -pli libraries

Hi ,

You need to set your modelsim.ini file , where you can give multiple working libraries .


synthax is

<Libname> = <lib path>

I think above should be added in library section of modelsim.ini .
If you desing is VHDL no need to specify -L option while invoking "vsim" , if your netlist is in veriog you shoulad add like this ..

vsim -L <libnae> -L <libname..> work.<dutfnfame>


Thanks & Regards
yln
 

# ** error: (vsim-3170) could not find

Hi, yln, thank u so much for your reply. I have add the library (umcl25u250t3v) to modelsim.ini, and it seems that the library is succesfully imported to Modelsim (from the ModelSim GUI). But there is still a problem...

I created the following sum.do file:

vlib work
vcom -93 -explicit sum_netlist.vhd
vcom -93 sum_TB.vhd
vsim umcl25u250t3v work.sum_tb
run 500
quit -sim


and I issued the command from command line:

vsim -do sum.do

then I got following error message, says:

vsim umcl25u250t3v work.sum_tb
# ** Error: (vsim-3170) Could not find 'work.umcl25u250t3v'.
# Error loading design


I don't understand why it searches the simulation library umcl25u250t3v from the working library - work. Can u tell me how to solve this problem? Thanks a lot!
 

modelsim # ** error: (vsim-3170) could not find

Hi,
Your vsim command line is the issue: I see:

I created the following sum.do file:

Code:
vlib work
vcom -93 -explicit sum_netlist.vhd
vcom -93 sum_TB.vhd
[b] vsim umcl25u250t3v work.sum_tb [/b]
run 500
quit -sim

In your im command remove umcl25u250t3v, make it as:

Code:
  vsim work.sum_tb

HTH
Ajeetha, CVC
www.noveldv.com
 

(vsim-3170)

Thank u, aji_vlsi

But umcl25u250t3v is the resource library for gate_level simulation, if this is not identified, there will be no default binding for my gate.

Of cause I can use library clause inside of the netlist to indicate this resource library, but for big designs, this method is very tedious. So I want to try to set it to the simulation environment.

Can u help please?

Thanks!
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…