how to read file by vhdl?

Status
Not open for further replies.

shenql

Junior Member level 2
Joined
Nov 20, 2007
Messages
24
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,419
vhdl read file

now i have a file (this may be xx.text ,xx.data or xx.eti)! i want to get the data in the file and write sth into the file! who have experience about this or who have data about this? pls tell or leave message. i'll connect to you!
thanks!
 

read vhdl

ok! i got it !
thanks!
 

it provide the test bench. i want to know about the code.
help me out :?:
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…