Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

how to initiallize the large memory in vhdl??

Status
Not open for further replies.

surya92

Junior Member level 1
Joined
Sep 21, 2012
Messages
17
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,373
how to initiallize an an array of dimension 64*64*16?
the momry is made as follows:

type page_1 is array (0 to 63)of std_logic_vector(63 downto 0);

type block_1 is array(0 to 15)of page_1;

type mem_1 is array(0 to 15)of block_1;

signal m1:mem_1


---------------------------------------------------------------------------
now how to initiallize mem_1?
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top