Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to include clock???

Status
Not open for further replies.

PRAVEEN HV

Junior Member level 2
Joined
Mar 26, 2011
Messages
20
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
BELAGAVI
Activity points
1,422
Hi,
I am implementing some equations in VHDL.. and I need 'n' iterations.. after each iteration i need to update the input with the results from first iteration.. and also I've to get result of first iteration in first period of clock, second iteration output in second period of clock and so on..

but I'm not getting how to include clock... bcz I've used structural flow so I cant include process.. please help me in solving this problem...
 

send me ur code........then i can help u out
 

What is the "structural flow"? did you define the entities? if you did, then you can include a clock in them and write processes.
 

structural means I'm using components and then mapping those using port map.. Can I use port map inside the process statement????
 

no you cannot, but where are you getting the components from? did you write them? if you wrote them, then you can simply add a clock, as at the bottom, there will be processes, or if you are mad and did the whole thing with primitives, you need to chose the clocked primitives. if someone else wrote them, then get the clocked versions.
 

Thank u , I have code for components and attatched to my project file and included in main code.. but those are without clock.. I'll try to add clock to those and ll try..
 

how can i use component instantiation block and generate statement under process statement??
 

how can i use component instantiation block and generate statement under process statement??
As mentioned in your other post, the answer is...You can't. A process can call functions or procedures, that's it.

Kevin Jennings
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top