How to implement a VGA controller using a video ROM or RAM?

Status
Not open for further replies.

jetset

Full Member level 3
Joined
Jun 17, 2004
Messages
152
Helped
14
Reputation
28
Reaction score
3
Trophy points
1,298
Location
Panama
Activity points
1,256
VGA controller

Do you have any document to learn how to implement a VGA controller using a video ROM or Ram, to store characters or bitmap?
 

Title: FPGA Prototyping by VHDL Examples
Author: Pong P. Chu
 

Reactions: Leep

    V

    Points: 2
    Helpful Answer Positive Rating

    Leep

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…