Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

how to do clock duty ratio from 1/2 to 1/8

Status
Not open for further replies.

choodzik

Newbie level 6
Joined
May 16, 2006
Messages
11
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,405
hello i design a low current comparator and i need clock with duty ratio about 1/8
and i have to do it from normal ordinary clock i mean with 1/2 duty ratio

but the cicuit which will do it must take few mikroampers can you help me ??

thanks in advance
 

can u pls tell your problem in detail, I am not able to get completely.
 

i mean clock duty ratio

my comparator needs clock with about 1/8 clock duty ratio for saving power
but in my design i only can use normal clok with 1/2 duty ratio and i have to find some circiut which will change duty ratio of my clock without changing the period.

this circiuit should take few uA mikroampers
 

You can and two signals .First one is the original clock signal.Second one is the delayed version of the signal delayed by 3/8 of the clock period .For generating the required phase delay u have to design a DLL with 8 stages and tap ur required signal from the third delay elements output.
regards
pratap
 

For my opinion, if you don't want to use analog block, you have to ask your backend team to finish this requirement.

Before your clock root, generate a new clock from your original clock through buf delay. Then use logic operation to generate the final clock as your thinking. When you do simulation, you can use a simple model.

But this method will be modified when period or process change.
 

hmmmmmmm

finally i managed to do so using two nands and two inwerters and one cmos capasitance and it works correctly

thanks for your help
 

Can u explain the methode u applied?
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top