Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to convert 8bit digital data to analog form?

Status
Not open for further replies.

patel_ec

Junior Member level 1
Joined
Aug 10, 2006
Messages
18
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,391
hello
i want to convert 8bit digital data to analog form.

i want to generate different waveform using pc parallel port,for that i want digital to analog circuit which should give me frequency at least up to some hz.

if u have any idea then plz help me.

thx in advance...
saurabh patel
 

Re: digital-to-analog

hi,

if you need DAC and you want to controll it using LPT1 you can use Evaluation board from Analog Device. this is alink for the DAC of the analog device.

**broken link removed**

each board have Evaluation board that connect to the parallel port and software that can control it.


the evaluation board also has schematic that you can use for your needs.
 

Re: digital-to-analog

Hi
You have to use a DAC to convert digital data in to analog. But you have to make specifications as the maximum frequency of the waveform you want to generate. Because all DACs has frequency limits.

As far as I know parallel port can support up to 2M SPS (may be more) data rate, but the data rate depends on the parallel port mode you are using. DAC0800 from National may be a good candidate. It needs minimal control signals and can support up to 10M SPS. Regarding parallel port may be you should refer beyondlogic.org.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top