how to connect External memory to altera fpga

Status
Not open for further replies.

I, along with others here, have tried to be helpful to you, but you seem to be stubbornly resistant to everyone's advice. We have asked you straight-forward questions and get vague, irrelevant answers. Yes, we all understand that you have a great, big, huge genome and need a lot of memory, but you seem unwilling to formulate a proper question. I do not imagine that I know it all, but I DO know what I don't know. That, sometimes, is even more important.

Do not worry about any further insults from me. I'm done.
 

I may have emphasised a bit here and there.

But we are making assumptions based on your posts. We could be wrong, that's why some of us ask for some bits of code from your project. Sometimes a good bit of code helps communicate things a lot faster. Things like code style, interesting mixes of blocking and non-blocking assignments, novel approaches to pipelining. That sort of thing.

If all you are going to do is simulate, I have to ask: why even involve a HDL like verilog. If the end goal is to verify that a design could be configured on an actual fpga, then you will have to make your verilog code synthesizable (which is harder to do than simple simulation code). If on the other hand you are only going to simulate then you are much much better of with something like python/C++ or even matlab. And yes, even the massive parallelism of fpga's you can simulate.

- - - Updated - - -

But why are you using a 5 year old version of the tool, especially when you've spoken about the Cyclone 5 (and now S4 and V) in other posts.
Presumably because that is what they have installed on the department computer. On the bright side, at least that way the inevitable use of verilog-1995 style port declarations will be more properly aligned with the tool version.
 
Last edited:

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…