Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

how to call system verilog function/task from vhdl file ????

Status
Not open for further replies.

Kiruthika C

Newbie level 3
Joined
Apr 22, 2013
Messages
4
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,305
I have a system verilog file, which contains few parameterized function and few tasks. I need to write VHDL top file, which passes parameters to system verilog functions/task, i dont know how to call the system verilog function/task.

If anybody knows how to call system verilog function/task, please share your knowledge.



Thanks & Regards
Kiruthika C
 


No, you cannot directly call a SV task/function from VHDL, or the reverse.

What you can do is create a set of handshaking signals - one that triggers the start of the task, and another that triggers the end of the task. These signals can be passed through ports at the mixed language boundary, or you can use $signal_spy if your simulator is Modelsim/Questa. The arguments to your tasks/functions will also need to be passed as ports or use $signal_spy.
 

No, you cannot directly call a SV task/function from VHDL, or the reverse.

What you can do is create a set of handshaking signals - one that triggers the start of the task, and another that triggers the end of the task. These signals can be passed through ports at the mixed language boundary, or you can use $signal_spy if your simulator is Modelsim/Questa. The arguments to your tasks/functions will also need to be passed as ports or use $signal_spy.

What you suggested is working and thank you Dave.

Thanks & Regards
Kiruthika C
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top