how generate netlist with "ripper" in cad*ence

Status
Not open for further replies.

xiongdh

Member level 4
Joined
Jul 18, 2002
Messages
76
Helped
2
Reputation
4
Reaction score
1
Trophy points
1,288
Location
china mainland
Activity points
682
there are rippers in ca*dence compo*ser schematic,and as i generate netlist by verilog-xl from the schematic.the bus with ripper can't connect correctly,how can i do with the rippers.
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…