Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How does DC insert clock gating cell while doing synthesis?

Status
Not open for further replies.

vikas_lakhanpal27

Member level 1
Joined
Jan 16, 2008
Messages
39
Helped
1
Reputation
2
Reaction score
0
Trophy points
1,286
Activity points
1,522
DC clock gating

can some one tell me how DC autmatically inserts clock gating cell while doing Synthesis?
 

Re: DC clock gating

use commands

set_clock_gating_style
and insert_clock_gating

Rgds.
 

DC clock gating

Can u expaling how DC does it?Then the RTL to netlist functnality would vary.Right?
 

Re: DC clock gating

vikas_lakhanpal27 said:
Can u expaling how DC does it?Then the RTL to netlist functnality would vary.Right?

It mixes CLOCK_EN and CLK and uses this signal to clock trigger.
Actually there are several types of clock gating (see set_clock_gating_style) Functionality remains the same except you'll have gated clock element. And tools such as Formality can show that both nelist with and without (RTL or netlist) gated clock element have similar functionality if you apply some constraints for tool.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top