Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How can I formality check what inserted scan and clock gating?

Status
Not open for further replies.

u24c02

Advanced Member level 1
Joined
May 8, 2012
Messages
404
Helped
2
Reputation
4
Reaction score
2
Trophy points
1,298
Activity points
4,101
Hi.

I am wondering How can I formality check what inserted scan and clock gating at netlist?

As I know, there are TE so many. How do I set all TE?
 

Hello,

are you interested for logic equivalence check/formality of scan inserted design?
can you please clarify your question?
 

Yes . You right. I want to know what am I do when I equivalance checking between rtl and netlist scan and clock inserted but the scan is not stitcing state.
 

If you are interested for LEC, you just have to provide the constraints.
If your device is not stitched and only scan replaced, no need to do LEC as there is not any DFT connection.
When you do synthesis using Synopsys DC Compiler, .svf file is generated for particular synthesis, we just need to provide .svf file to the Synopsys formality tool.
You can get the reference script inside the tool manual.
By doing this, you can do the LEC between RTL and Netlist.
 

How can I fix like this problem at LEC?
Mismatch problem

Case1.
xxxx[0] xxxx_0_
... ...
 

Such type of cases, you can handle at synthesis stage.
We just have to set some variables/command, so this type of naming convention would not change. I don't remember the exact commands/variable but you can find it in the tool manual itself.
 

Thanks Sir. I get it

Does anyone know what commends needed to this?
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top