entity cossma is
port(
input: in std_logic_vector(3 downto 0);
clk: in std_logic;
set_ff: in std_logic;
set_ff_data : in std_logic_vector(63 downto 0);
output : out std_logic_vector(63 downto 0)
);
end cossma;
architecture behv2 of cossma is
signal y : std_logic;
begin
y <= input(0) xor input(1) xor input(2) xor input(3);
nlmisr01 : nlmisr PORT MAP (input => input, y => y, clk => clk, output => output(3 downto 0),
set_ff => set_ff, set_input => set_ff_data(3 downto 0));
nlmisr02 : nlmisr PORT MAP (input => input, y => y, clk => clk, output => output(7 downto 4),
set_ff => set_ff, set_input => set_ff_data(7 downto 4));
-- and so forth...