Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Help with dc mototr rpm

Status
Not open for further replies.

black-code

Newbie level 5
Joined
Feb 21, 2008
Messages
9
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,346
how to convert encoder pulse to rpm

Hello all,..

I want to calculate the rpm of a dc motor by using a shaft encoder the motor gives 400 rpm so how can i get the rpm by using that shaft encoder (Note that the rotating wheel is 45 count per revolution).

I only want to know what equations are needed to use it with a microcontroller so i can get the rpm of the motor to make a speed control.

Thanks in advance.
 

It's easy.You can use LM2907(Frequency to Voltage converter).The output will be directly proportional to the RPM of the motor.There's any specific formulas for this, as the relation is linear.You can calibrate it easily.It gives output in analog voltages.All you need to do is to read them up by your microcontroller.If you don't have ADC built-in your microcontroller, try using ADC0808.This frequency to voltage converter gives output voltage from 0V to -20V.If you want to convert them into positive, you may use OP-AMP as an inverter and invert the polarity.The rest depends on you, what you would do with it.By above method you can easily get the RPM.
 

Hi,
The accurate method is to count the pulse output from your shaft encoder and compute the RPM. For example a slow method is to count the number of pulses for 1.333 seconds [(N*60÷45) where N is number of pulses per second]as RPM with an encoder of 45 pulses per revolution. Alternatively, you can get the Period(T) of the encoder output using a microcntrller and calculate RPM as (1/T)*60÷45.
Regards,
Laktronics
 

Thanks guys for help, and sorry for my late reply.
 

hi, i have a problem here..
i have a motor with a speed encoder that give pulse per revolution signal, so how can i convert it to an analog signal? because i need to create a speed feedback from motor so that i can control it. Thanks
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top