Help needed with ModelSim Generics

Status
Not open for further replies.

dsk635

Newbie level 4
Joined
Oct 18, 2005
Messages
7
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,345
Hi,

I have a generic defined as a std_logic_vector(31 downto 0). It defaults to all bits being high ("H"). I have a question regarding passing the generics value in modelsim. Is it possile to set only particular bits of the generic? For example, say set only bits 12 downto 10, while the remaining bits are set in another file? I tried the following but Modelsim would not recognize it:
G<generic_name>(12 downto 10)='111'

I would really appreciate any help in this regard.
Thanks,
-D.
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…