GLS with a Timing Model using PrimeTime and Modelsim

Status
Not open for further replies.

javierh.santiago

Junior Member level 2
Joined
Dec 4, 2017
Messages
24
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
225
I want to execute GLS with a timing model using PrimeTime. After generating the netlist, I successfully ran GLS with ModelSim using the .sdf file given by Synopsys DC (cmd: write_sdf sdf_dc.sdf). However, the problem arises when using the .sdf file generated by Prime Time with a timing model as I see below warnings in ModelSim:


# ** Warning: (vsim-SDF-3924) /home/v45175/mydata/ErrorEstimation/GLS/sdf_pf.sdf: Out of 729 SDF statements, 729 had null values.

The commands I am using with Prime Time are:

set link_path "/path/myLibrary.db"
read_verilog netlist.v
link_design acc
set_max_delay 10 -from [all_inputs] -to [all_outputs]
report_timing -path full -delay max -max_paths 1 -nworst 1 -significant_digits 4 > report_pt_acc_99.txt ## NOTE that I am not seeing any issue with this report!!
write_sdf sdf_pt.sdf​

Basically, the above warning appears in modelsim when using "sdf_pt.sdf" instead of "sdf.dc.sf". Wondering if I am missing something when using Prime Time?

-Francisco
 


When using SDF files, designers always make the same two mistakes:
- generate empty files and then wonder why it doesn't work
- generate a valid file but with hierarchy mismatch. this happens because the simulation environment usually has a testbench top level. meanwhile, the sdf generation only sees the main circuit as that is the top level during synthesis.
 


- generate empty files and then wonder why it doesn't work
Does it mean there is something missing in my script to generate the file properly, wondering what is it?

- generate a valid file but with a hierarchy mismatch. this happens because the simulation environment usually has a testbench top level. meanwhile, the sdf generation only sees the main circuit as that is the top level during synthesis.
I don't think this is the problem as I am able to run GLS with the SDF given by Synopsys DC. Also, I make sure that the .sdf files run exclusively in the DUT

Searching for other solutions on the internet, I found that someone was able to run GLS using either "-sdfmax" or "-sdfmin" instead of "-sdftyp" in ModelSim (see below command). However, there is no explanation about the reason. I tried and it ran the GLS. Now, I am trying to understand what is different for those options in ModelSim, or Why PrimeTime is not generating a complete .sdf file? Any insights here will be appreciated.

"vsim work.topdct_idct -sdfmax /top_tb/dut=/myPath/sdf_pt.sdf -sdfnoerror

-Francisco
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…