Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

ghdl -r produces compilation error

Status
Not open for further replies.

ZX_Spectrum

Newbie level 5
Joined
Apr 13, 2016
Messages
8
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
104
I am using ghdl on Ubuntu 14.04 and vim syntastic

before I used to have no problem with the sequence:

ghdl -a my_file.vhd
ghdl -e my_file
ghdl -r my_file

but after installing syntastic (and I am not sure of this time-connection).

I cannot execute ghdl -r any more whenever I do that I get

$> ghdl:compilation error

without any further description

ls -l on my work folder shows:

-rw-------1 my_pc my_pc 412696 May 4 16:48 my_file

it seems to me that the produced binary is not even executable.

chmod +x my_file has no effect.

-----------------------------------------------------------

Code VHDL - [expand]
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
USE work.all;
 
USE std.textio.all;
-----------------------------------------------------------
ENTITY crct1 IS
END ENTITY crct1;
-----------------------------------------------------------
ARCHITECTURE beh OF crct1 IS
BEGIN
        PROCESS IS
                VARIABLE v1 :BIT;
                VARIABLE v2 :BIT;
                VARIABLE v3 :BIT;
        BEGIN
                v3 := v1 AND v2;
                WAIT;
        END PROCESS;
 
END ARCHITECTURE beh;



Could you suggest a solution. Note: I am new to Linux,vim and vhdl.
 
Last edited by a moderator:

GHDL isn't a mainstream simulation (i.e. professional grade) tool are you sure it supports all the statements you are using?

Seems to me you should try something simple like some FF code https://www.asic-world.com/examples/vhdl/d_ff.html.

I can't see how VIM could do anything to your GHDL installation, perhaps it was syntastic that replaced something with a new version that GHDL is incompatible with.

- - - Updated - - -

You would probably be better off downloading Altera's free web tool suite and the free version of Modelsim they have. It would compile the above code without problems (I checked it both on Modelsim and Vivado, though I am using the PC version).
 

Could you suggest a solution. Note: I am new to Linux,vim and vhdl.
Unless you already have done so, I suggest you report this on the GHDL site.

Kevin Jennings
 

Unless you already have done so, I suggest you report this on the GHDL site.

Kevin Jennings

And ask them nicely, to improve their really poor error messages ;-).
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top