Generating VCD with ncverilog

Status
Not open for further replies.

Aastik

Member level 1
Joined
Oct 8, 2005
Messages
33
Helped
1
Reputation
2
Reaction score
0
Trophy points
1,286
Activity points
1,476
ncverilog vcd

Hi All,

Could anybody please tell me how to generate VCD file/database using ncverilog. A small tutorial will be of great help.

Thanks & regards.
 

ncsim vcd

Aastik said:
Hi All,

Could anybody please tell me how to generate VCD file/database using ncverilog. A small tutorial will be of great help.

Thanks & regards.

Reaed their doc, theer are atleast 3 ways - 1 to create SHM and then convert to VCD via shm2vcd command. Another is via $dumpvars, 3rd option is to use TCL script as:

Code:
database -create -vcd -default
probe -add -vcd / -depth all
run
exit

Pass this to ncsim as:

ncsim -tcl dump.tcl

Tutorial - google it, you will find it.

HTH
Ajeetha, CVC
www.noveldv.com
 

    Aastik

    Points: 2
    Helpful Answer Positive Rating
ncverilog vcd dump

$dumpfile ("example.vcd")
$dumpvars(0,your design)

write the above to your testcase
 
ncverilog dumpfile

Thanks a lot, Ajeetha and feel_on_on.

It was quite helpful.

Regards.
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…