Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Generating Delay less than Clock Period

Status
Not open for further replies.

honnaraj.t

Member level 2
Joined
Aug 3, 2007
Messages
48
Helped
2
Reputation
4
Reaction score
1
Trophy points
1,288
Activity points
1,725
hi,
it will help me lot...if any one solve this problem....

problem: how can we generate a delay which is less than clock time period.

example: If my clock is 20ns period... how can i generate 8ns delay in vhdl.
i am using CPLD. no option to use PLL.. this should happen through programe......

thanks in advance....................
 

If you need delay of samples that you get from ADC you can use filter.
If you about wire signals in Xilinx chip like Virtex4 or better you can use IDELAY elements.
 

8 ns is rather long. Logic cell delay usually isn't a solution with short CPLD
resources, also it isn't necessarily supported by CPLD design tools.
Although not programmable, an external RC delay is probably the best.
 

Even Better Solution is to use an external programmable Delay Line.Check Maxim
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top