GAL synthesis software (newbie)

Status
Not open for further replies.

kender

Advanced Member level 4
Joined
Jun 19, 2005
Messages
1,425
Helped
138
Reputation
276
Reaction score
39
Trophy points
1,328
Location
Stanford, SF Bay Peninsula, California, Earth, Sol
Activity points
10,035
Folks,

I have to maintain a legacy device, which has a GAL16V8D in it, and I have never used GAL before. Could you recommend good software for the design and synthesis of GAL(preferably one that uses VHDL)?

I have downloaded the ispLEVER and Synplify from Lattice web site, but I couldn't find a good explanation/tutorial on how to use them. It seems that Synplify is a synthesis tool, and ispLEVER is mainly a fitting tool. But the problem is that GAL is not in the list of technologies supported by Synplify. It's probably considered old. (The newer ispGAL is in the list, but the plain ol' GAL isn't.)

Thanks,
Nick
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…