Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

FSM in Verilog problem: why leds don't light up?

Status
Not open for further replies.

Elektronman

Member level 5
Joined
Jun 24, 2011
Messages
89
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,288
Activity points
2,116
Hello,
I'm working with a sample project for Digilent Atlys that can be found here:
https://tristesse.org/pub/atlys_ddr_test-20110731.zip
I have modified state 0 and state 1 of the FSM, so that it light some leds at certain spots.
( This is done mainly for debugging)
I have been working on this for a couple a days, but I really cannot understand why the Atlys gives out
an unexpected (for me) behaviour.

Here is the code:
Code:
`timescale 1ns / 1ps
//////////////////////////////////////////////////////////////////////////////////
// Company: 
// Engineer: 
// 
// Create Date:    21:56:56 07/31/2011 
// Design Name: 
// Module Name:    atlys_ddr_test 
// Project Name: 
// Target Devices: 
// Tool versions: 
// Description: 
//
// Dependencies: 
//
// Revision: 
// Revision 0.01 - File Created
// Additional Comments: 
//
//////////////////////////////////////////////////////////////////////////////////
module atlys_ddr_test(

	output DDR2CLK_P,
	output DDR2CLK_N,
	output DDR2CKE,
	output DDR2RASN,
	output DDR2CASN,
	output DDR2WEN,
	inout DDR2RZQ,
	inout DDR2ZIO,
	output [2:0] DDR2BA,

	output [12:0] DDR2A,
	inout [15:0] DDR2DQ,

	inout DDR2UDQS_P,
	inout DDR2UDQS_N,
	inout DDR2LDQS_P,
	inout DDR2LDQS_N,
	output DDR2LDM,
	output DDR2UDM,
	output DDR2ODT,

	output leds,
	input clk // 100 MHz oscillator = 10ns period (top level pin)

    );
	 
	 
	
	reg [2:0] c3_p0_cmd_instr;
	reg [5:0] c3_p0_cmd_bl;
	reg [29:0] c3_p0_cmd_byte_addr;
	reg [7:0] c3_p0_wr_mask;
	reg [63:0] c3_p0_wr_data;
	reg c3_p0_rd_en;
	reg c3_p0_wr_en;
	reg [2:0] c3_p1_cmd_instr;
	reg [5:0] c3_p1_cmd_bl;
	reg [29:0] c3_p1_cmd_byte_addr;
	reg [7:0] c3_p1_wr_mask;
	reg [63:0] c3_p1_wr_data;
	reg c3_p1_rd_en;
	reg c3_p1_wr_en;
	reg c3_p0_cmd_en;
	reg reset = 1;

	// Outputs
	reg [7:0] leds;
	wire [6:0] c3_p0_wr_count;
	wire [63:0] c3_p0_rd_data;
	wire [6:0] c3_p0_rd_count;
	wire c3_p0_rd_empty;
	wire [6:0] c3_p1_wr_count;
	wire [63:0] c3_p1_rd_data;
	wire [6:0] c3_p1_rd_count;
	wire c3_p1_rd_empty;
	wire c3_calib_done;
	wire c3_clk0;


	// Instantiate the Unit Under Test (UUT)
	ddr_interface ddr_interface (
		.DDR2CLK_P(DDR2CLK_P), 
		.DDR2CLK_N(DDR2CLK_N), 
		.DDR2CKE(DDR2CKE), 
		.DDR2RASN(DDR2RASN), 
		.DDR2CASN(DDR2CASN), 
		.DDR2WEN(DDR2WEN), 
		.DDR2RZQ(DDR2RZQ), 
		.DDR2ZIO(DDR2ZIO), 
		.DDR2BA(DDR2BA), 
		.DDR2A(DDR2A), 
		.DDR2DQ(DDR2DQ), 
		.DDR2UDQS_P(DDR2UDQS_P), 
		.DDR2UDQS_N(DDR2UDQS_N), 
		.DDR2LDQS_P(DDR2LDQS_P), 
		.DDR2LDQS_N(DDR2LDQS_N), 
		.DDR2LDM(DDR2LDM), 
		.DDR2UDM(DDR2UDM), 
		.DDR2ODT(DDR2ODT), 
		.clk(clk), 
		.c3_p0_cmd_instr(c3_p0_cmd_instr), 
		.c3_p0_cmd_bl(c3_p0_cmd_bl), 
		.c3_p0_cmd_byte_addr(c3_p0_cmd_byte_addr), 
		.c3_p0_wr_mask(c3_p0_wr_mask), 
		.c3_p0_wr_data(c3_p0_wr_data), 
		.c3_p0_wr_count(c3_p0_wr_count), 
		.c3_p0_rd_data(c3_p0_rd_data), 
		.c3_p0_rd_count(c3_p0_rd_count), 
		.c3_p0_rd_en(c3_p0_rd_en), 
		.c3_p0_rd_empty(c3_p0_rd_empty), 
		.c3_p0_wr_en(c3_p0_wr_en), 
		.c3_p1_cmd_instr(c3_p1_cmd_instr), 
		.c3_p1_cmd_bl(c3_p1_cmd_bl), 
		.c3_p1_cmd_byte_addr(c3_p1_cmd_byte_addr), 
		.c3_p1_wr_mask(c3_p1_wr_mask), 
		.c3_p1_wr_count(c3_p1_wr_count), 
		.c3_p1_wr_data(c3_p1_wr_data), 
		.c3_p1_rd_data(c3_p1_rd_data), 
		.c3_p1_rd_count(c3_p1_rd_count), 
		.c3_p1_rd_en(c3_p1_rd_en), 
		.c3_p1_rd_empty(c3_p1_rd_empty), 
		.c3_p1_wr_en(c3_p1_wr_en), 
		.c3_p0_cmd_en(c3_p0_cmd_en), 
		.c3_calib_done(c3_calib_done), 
		.reset(reset),
		.c3_clk0(c3_clk0)
	);

	reg [1:0] calib_done;
	
	always @(posedge c3_clk0)
		calib_done <= {calib_done[0], c3_calib_done};

	reg [4:0] state = 0;
	reg [11:0] count;
	
	always @(posedge c3_clk0)
		case(state)
		0: begin
			reset <= 0;
			if (calib_done[1]) state <= 1;
			
			//Elektronman: if I comment these below, leds do light up... why??????
			leds[0]<=0;
			leds[1]<=0;
			leds[2]<=0;
			leds[3]<=0;
			leds[4]<=0;
			leds[5]<=0;
			leds[6]<=0;
			leds[7]<=0;
			
			end
		1: begin
			c3_p0_wr_en <= 1;
			c3_p0_wr_data <= 64'd1;
			//state <= 2;// Elektronman: the FSM is purposely stopped here
			 
			count <= 12'd0;
			
			leds[0]<=1;
			leds[1]<=1;
			leds[2]<=1;
			leds[3]<=1;
			leds[4]<=1;
			leds[5]<=1;
			leds[6]<=1;
			leds[7]<=1;
			
			end
		2: begin
			c3_p0_wr_data <= 64'd2;
			state <= 3;
			end
		3: begin
			c3_p0_wr_data <= 64'd3;
			state <= 4;
			end
		4: begin
			c3_p0_wr_data <= 64'd4;
			state <= 5;
			end
		5: begin
			c3_p0_wr_data <= 64'd5;
			state <= 6;
			end
		6: begin
			c3_p0_wr_data <= 64'd6;
			state <= 7;
			end
		7: begin
			c3_p0_wr_en <= 0;
			c3_p0_cmd_instr <= 3'b000; // Write
			c3_p0_cmd_bl <= 6'd6; // 6 bytes
			c3_p0_cmd_byte_addr <= 30'd16; // To address 16
			c3_p0_cmd_en <= 1;
			state <= 8;
			end
		8: begin
			c3_p0_cmd_en <= 0;
			state <= 9;
			end
		9: begin
			count <= count + 1'b1;
			if (count[11])
				state <= 10;
			end
		10: begin
			count <= 12'd0;
			c3_p0_cmd_bl <= 6'd16;
			c3_p0_cmd_byte_addr <= 30'd16;
			c3_p0_cmd_instr <= 3'b001;
			c3_p0_cmd_en <= 1;
			state <= 11;
			end
		11: begin
			c3_p0_cmd_en <= 0;
			count <= count + 1'b1;
			if (count[11])
				state <= 0;
				
			
			end
			
		endcase


endmodule

As you can see, I have added to state 0, the following statements:
leds[0]<=0;
leds[1]<=0;
leds[2]<=0;
leds[3]<=0;
leds[4]<=0;
leds[5]<=0;
leds[6]<=0;
leds[7]<=0;

and, in state 1 I have added:
leds[0]<=1;
leds[1]<=1;
leds[2]<=1;
leds[3]<=1;
leds[4]<=1;
leds[5]<=1;
leds[6]<=1;
leds[7]<=1;


in state 1, I have commented state <= 2, so that the state halts on 1 and never goes forward (at this moment, the code doesn't make any sense,
I've purposely turned the Joelby code into a leds tester :cool:)
These are the only modifications I have made to the code (apart from uncommenting the leds declarations in .ucf file
and adding leds as output and declaring them as reg).

I would expect the leds to light up when I run the example... instead. nothing happens.
But,
if I comment the leds[0]<=0...... leds[7]<=0 in state 0, then the leds do light up!!


So, does anyone know why leds don't turn on when running the code above? Am I doing something strange???
 

at first change output leds to output reg [7:0] leds
and comment out 'reg [7:0] leds';
then read carefully compilation warnings;

check what leds[7:0] signal level, 'LOW' or "HIGH', switch your
board LEDs 'ON';

j.a
 

Besides the leds width issue, I would assign state <= 1; instead of commenting the line out. Not entirely sure what the FSM will do as there isn't a defined state to go to, probably something unintended.
 

I tried both the advices, but nothing changed...
....
this is the log:
Code:
WARNING:HDLCompiler:872 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\mcb_controller\mcb_raw_wrapper.v" Line 681: Using initial value of allzero since it is never assigned
WARNING:HDLCompiler:872 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\mcb_controller\mcb_soft_calibration.v" Line 312: Using initial value of START_BROADCAST since it is never assigned
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\mcb_controller\mcb_soft_calibration.v" Line 373: Assignment to Half_MV_DU ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\mcb_controller\mcb_soft_calibration.v" Line 375: Assignment to Half_MV_DD ignored, since the identifier is never used
WARNING:HDLCompiler:413 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\mcb_controller\iodrp_controller.v" Line 186: Result of 4-bit expression is truncated to fit in 3-bit target.
WARNING:HDLCompiler:413 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\mcb_controller\iodrp_mcb_controller.v" Line 301: Result of 4-bit expression is truncated to fit in 3-bit target.
WARNING:HDLCompiler:413 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\mcb_controller\iodrp_mcb_controller.v" Line 312: Result of 9-bit expression is truncated to fit in 8-bit target.
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\mcb_controller\mcb_soft_calibration.v" Line 408: Assignment to MCB_READ_DATA ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\mcb_controller\mcb_soft_calibration.v" Line 659: Assignment to MCB_UODATAVALID_U ignored, since the identifier is never used
WARNING:HDLCompiler:413 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\mcb_controller\mcb_soft_calibration.v" Line 814: Result of 7-bit expression is truncated to fit in 6-bit target.
WARNING:HDLCompiler:413 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\mcb_controller\mcb_soft_calibration.v" Line 818: Result of 8-bit expression is truncated to fit in 6-bit target.
WARNING:HDLCompiler:413 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\mcb_controller\mcb_soft_calibration.v" Line 864: Result of 8-bit expression is truncated to fit in 7-bit target.
WARNING:HDLCompiler:413 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\mcb_controller\mcb_soft_calibration.v" Line 868: Result of 8-bit expression is truncated to fit in 6-bit target.
WARNING:HDLCompiler:413 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\mcb_controller\mcb_soft_calibration.v" Line 869: Result of 8-bit expression is truncated to fit in 7-bit target.
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\mcb_controller\mcb_soft_calibration.v" Line 705: Assignment to IODRPCTRLR_USE_BKST ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\mcb_controller\mcb_soft_calibration_top.v" Line 216: Assignment to Max_Value ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 789: Assignment to sysclk_2x_bufpll_o ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 790: Assignment to sysclk_2x_180_bufpll_o ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 791: Assignment to pll_ce_0_bufpll_o ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 792: Assignment to pll_ce_90_bufpll_o ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 793: Assignment to pll_lock_bufpll_o ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 986: Assignment to uo_data ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 987: Assignment to uo_data_valid ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 989: Assignment to uo_cmd_ready_in ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 990: Assignment to uo_refrsh_flag ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 991: Assignment to uo_cal_start ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 992: Assignment to uo_sdo ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 993: Assignment to status ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 1010: Assignment to s0_axi_awready ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 1015: Assignment to s0_axi_wready ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 1016: Assignment to s0_axi_bid ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 1017: Assignment to s0_axi_bresp ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 1018: Assignment to s0_axi_bvalid ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 1030: Assignment to s0_axi_arready ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 1031: Assignment to s0_axi_rid ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 1032: Assignment to s0_axi_rdata ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 1033: Assignment to s0_axi_rresp ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 1034: Assignment to s0_axi_rlast ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 1035: Assignment to s0_axi_rvalid ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 1050: Assignment to s1_axi_awready ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 1055: Assignment to s1_axi_wready ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 1056: Assignment to s1_axi_bid ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 1057: Assignment to s1_axi_bresp ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 1058: Assignment to s1_axi_bvalid ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 1070: Assignment to s1_axi_arready ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 1071: Assignment to s1_axi_rid ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 1072: Assignment to s1_axi_rdata ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 1073: Assignment to s1_axi_rresp ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 1074: Assignment to s1_axi_rlast ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 1075: Assignment to s1_axi_rvalid ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 1090: Assignment to s2_axi_awready ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 1095: Assignment to s2_axi_wready ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 1096: Assignment to s2_axi_bid ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 1097: Assignment to s2_axi_bresp ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 1098: Assignment to s2_axi_bvalid ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 1110: Assignment to s2_axi_arready ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 1111: Assignment to s2_axi_rid ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 1112: Assignment to s2_axi_rdata ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 1113: Assignment to s2_axi_rresp ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 1114: Assignment to s2_axi_rlast ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 1115: Assignment to s2_axi_rvalid ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 1130: Assignment to s3_axi_awready ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 1135: Assignment to s3_axi_wready ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 1136: Assignment to s3_axi_bid ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 1137: Assignment to s3_axi_bresp ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 1138: Assignment to s3_axi_bvalid ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 1150: Assignment to s3_axi_arready ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 1151: Assignment to s3_axi_rid ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 1152: Assignment to s3_axi_rdata ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 1153: Assignment to s3_axi_rresp ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 1154: Assignment to s3_axi_rlast ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 1155: Assignment to s3_axi_rvalid ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 1170: Assignment to s4_axi_awready ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 1175: Assignment to s4_axi_wready ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 1176: Assignment to s4_axi_bid ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 1177: Assignment to s4_axi_bresp ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 1178: Assignment to s4_axi_bvalid ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 1190: Assignment to s4_axi_arready ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 1191: Assignment to s4_axi_rid ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 1192: Assignment to s4_axi_rdata ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 1193: Assignment to s4_axi_rresp ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 1194: Assignment to s4_axi_rlast ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 1195: Assignment to s4_axi_rvalid ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 1210: Assignment to s5_axi_awready ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 1215: Assignment to s5_axi_wready ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 1216: Assignment to s5_axi_bid ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 1217: Assignment to s5_axi_bresp ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 1218: Assignment to s5_axi_bvalid ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 1230: Assignment to s5_axi_arready ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 1231: Assignment to s5_axi_rid ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 1232: Assignment to s5_axi_rdata ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 1233: Assignment to s5_axi_rresp ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 1234: Assignment to s5_axi_rlast ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 1235: Assignment to s5_axi_rvalid ignored, since the identifier is never used
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 437: Net <s0_axi_aclk> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 438: Net <s0_axi_aresetn> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 439: Net <s0_axi_awid[3]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 440: Net <s0_axi_awaddr[63]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 441: Net <s0_axi_awlen[7]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 442: Net <s0_axi_awsize[2]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 443: Net <s0_axi_awburst[1]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 444: Net <s0_axi_awlock[0]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 445: Net <s0_axi_awcache[3]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 446: Net <s0_axi_awprot[2]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 447: Net <s0_axi_awqos[3]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 448: Net <s0_axi_awvalid> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 450: Net <s0_axi_wdata[31]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 451: Net <s0_axi_wstrb[3]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 452: Net <s0_axi_wlast> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 453: Net <s0_axi_wvalid> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 458: Net <s0_axi_bready> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 459: Net <s0_axi_arid[3]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 460: Net <s0_axi_araddr[63]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 461: Net <s0_axi_arlen[7]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 462: Net <s0_axi_arsize[2]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 463: Net <s0_axi_arburst[1]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 464: Net <s0_axi_arlock[0]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 465: Net <s0_axi_arcache[3]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 466: Net <s0_axi_arprot[2]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 467: Net <s0_axi_arqos[3]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 468: Net <s0_axi_arvalid> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 475: Net <s0_axi_rready> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 477: Net <s1_axi_aclk> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 478: Net <s1_axi_aresetn> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 479: Net <s1_axi_awid[3]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 480: Net <s1_axi_awaddr[63]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 481: Net <s1_axi_awlen[7]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 482: Net <s1_axi_awsize[2]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 483: Net <s1_axi_awburst[1]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 484: Net <s1_axi_awlock[0]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 485: Net <s1_axi_awcache[3]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 486: Net <s1_axi_awprot[2]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 487: Net <s1_axi_awqos[3]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 488: Net <s1_axi_awvalid> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 490: Net <s1_axi_wdata[31]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 491: Net <s1_axi_wstrb[3]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 492: Net <s1_axi_wlast> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 493: Net <s1_axi_wvalid> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 498: Net <s1_axi_bready> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 499: Net <s1_axi_arid[3]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 500: Net <s1_axi_araddr[63]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 501: Net <s1_axi_arlen[7]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 502: Net <s1_axi_arsize[2]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 503: Net <s1_axi_arburst[1]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 504: Net <s1_axi_arlock[0]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 505: Net <s1_axi_arcache[3]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 506: Net <s1_axi_arprot[2]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 507: Net <s1_axi_arqos[3]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 508: Net <s1_axi_arvalid> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 515: Net <s1_axi_rready> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 517: Net <s2_axi_aclk> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 518: Net <s2_axi_aresetn> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 519: Net <s2_axi_awid[3]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 520: Net <s2_axi_awaddr[63]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 521: Net <s2_axi_awlen[7]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 522: Net <s2_axi_awsize[2]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 523: Net <s2_axi_awburst[1]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 524: Net <s2_axi_awlock[0]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 525: Net <s2_axi_awcache[3]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 526: Net <s2_axi_awprot[2]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 527: Net <s2_axi_awqos[3]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 528: Net <s2_axi_awvalid> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 530: Net <s2_axi_wdata[31]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 531: Net <s2_axi_wstrb[3]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 532: Net <s2_axi_wlast> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 533: Net <s2_axi_wvalid> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 538: Net <s2_axi_bready> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 539: Net <s2_axi_arid[3]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 540: Net <s2_axi_araddr[63]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 541: Net <s2_axi_arlen[7]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 542: Net <s2_axi_arsize[2]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 543: Net <s2_axi_arburst[1]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 544: Net <s2_axi_arlock[0]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 545: Net <s2_axi_arcache[3]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 546: Net <s2_axi_arprot[2]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 547: Net <s2_axi_arqos[3]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 548: Net <s2_axi_arvalid> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 555: Net <s2_axi_rready> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 557: Net <s3_axi_aclk> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 558: Net <s3_axi_aresetn> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 559: Net <s3_axi_awid[3]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 560: Net <s3_axi_awaddr[63]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 561: Net <s3_axi_awlen[7]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 562: Net <s3_axi_awsize[2]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 563: Net <s3_axi_awburst[1]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 564: Net <s3_axi_awlock[0]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 565: Net <s3_axi_awcache[3]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 566: Net <s3_axi_awprot[2]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 567: Net <s3_axi_awqos[3]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 568: Net <s3_axi_awvalid> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 570: Net <s3_axi_wdata[31]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 571: Net <s3_axi_wstrb[3]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 572: Net <s3_axi_wlast> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 573: Net <s3_axi_wvalid> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 578: Net <s3_axi_bready> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 579: Net <s3_axi_arid[3]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 580: Net <s3_axi_araddr[63]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 581: Net <s3_axi_arlen[7]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 582: Net <s3_axi_arsize[2]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 583: Net <s3_axi_arburst[1]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 584: Net <s3_axi_arlock[0]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 585: Net <s3_axi_arcache[3]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 586: Net <s3_axi_arprot[2]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 587: Net <s3_axi_arqos[3]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 588: Net <s3_axi_arvalid> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 595: Net <s3_axi_rready> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 597: Net <s4_axi_aclk> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 598: Net <s4_axi_aresetn> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 599: Net <s4_axi_awid[3]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 600: Net <s4_axi_awaddr[63]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 601: Net <s4_axi_awlen[7]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 602: Net <s4_axi_awsize[2]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 603: Net <s4_axi_awburst[1]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 604: Net <s4_axi_awlock[0]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 605: Net <s4_axi_awcache[3]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 606: Net <s4_axi_awprot[2]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 607: Net <s4_axi_awqos[3]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 608: Net <s4_axi_awvalid> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 610: Net <s4_axi_wdata[31]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 611: Net <s4_axi_wstrb[3]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 612: Net <s4_axi_wlast> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 613: Net <s4_axi_wvalid> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 618: Net <s4_axi_bready> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 619: Net <s4_axi_arid[3]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 620: Net <s4_axi_araddr[63]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 621: Net <s4_axi_arlen[7]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 622: Net <s4_axi_arsize[2]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 623: Net <s4_axi_arburst[1]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 624: Net <s4_axi_arlock[0]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 625: Net <s4_axi_arcache[3]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 626: Net <s4_axi_arprot[2]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 627: Net <s4_axi_arqos[3]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 628: Net <s4_axi_arvalid> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 635: Net <s4_axi_rready> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 637: Net <s5_axi_aclk> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 638: Net <s5_axi_aresetn> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 639: Net <s5_axi_awid[3]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 640: Net <s5_axi_awaddr[63]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 641: Net <s5_axi_awlen[7]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 642: Net <s5_axi_awsize[2]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 643: Net <s5_axi_awburst[1]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 644: Net <s5_axi_awlock[0]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 645: Net <s5_axi_awcache[3]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 646: Net <s5_axi_awprot[2]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 647: Net <s5_axi_awqos[3]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 648: Net <s5_axi_awvalid> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 650: Net <s5_axi_wdata[31]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 651: Net <s5_axi_wstrb[3]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 652: Net <s5_axi_wlast> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 653: Net <s5_axi_wvalid> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 658: Net <s5_axi_bready> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 659: Net <s5_axi_arid[3]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 660: Net <s5_axi_araddr[63]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 661: Net <s5_axi_arlen[7]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 662: Net <s5_axi_arsize[2]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 663: Net <s5_axi_arburst[1]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 664: Net <s5_axi_arlock[0]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 665: Net <s5_axi_arcache[3]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 666: Net <s5_axi_arprot[2]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 667: Net <s5_axi_arqos[3]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 668: Net <s5_axi_arvalid> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\memc_wrapper.v" Line 675: Net <s5_axi_rready> does not have a driver.
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 603: Assignment to c3_p2_cmd_full ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 604: Assignment to c3_p2_cmd_empty ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 611: Assignment to c3_p2_wr_full ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 612: Assignment to c3_p2_wr_count ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 613: Assignment to c3_p2_wr_empty ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 614: Assignment to c3_p2_wr_underrun ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 615: Assignment to c3_p2_wr_error ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 620: Assignment to c3_p2_rd_data ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 621: Assignment to c3_p2_rd_empty ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 622: Assignment to c3_p2_rd_count ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 623: Assignment to c3_p2_rd_full ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 624: Assignment to c3_p2_rd_overflow ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 625: Assignment to c3_p2_rd_error ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 634: Assignment to c3_p3_cmd_full ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 635: Assignment to c3_p3_cmd_empty ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 642: Assignment to c3_p3_wr_full ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 643: Assignment to c3_p3_wr_count ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 644: Assignment to c3_p3_wr_empty ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 645: Assignment to c3_p3_wr_underrun ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 646: Assignment to c3_p3_wr_error ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 651: Assignment to c3_p3_rd_data ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 652: Assignment to c3_p3_rd_empty ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 653: Assignment to c3_p3_rd_count ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 654: Assignment to c3_p3_rd_full ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 655: Assignment to c3_p3_rd_overflow ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 656: Assignment to c3_p3_rd_error ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 665: Assignment to c3_p4_cmd_full ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 666: Assignment to c3_p4_cmd_empty ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 673: Assignment to c3_p4_wr_full ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 674: Assignment to c3_p4_wr_count ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 675: Assignment to c3_p4_wr_empty ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 676: Assignment to c3_p4_wr_underrun ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 677: Assignment to c3_p4_wr_error ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 682: Assignment to c3_p4_rd_data ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 683: Assignment to c3_p4_rd_empty ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 684: Assignment to c3_p4_rd_count ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 685: Assignment to c3_p4_rd_full ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 686: Assignment to c3_p4_rd_overflow ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 687: Assignment to c3_p4_rd_error ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 696: Assignment to c3_p5_cmd_full ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 697: Assignment to c3_p5_cmd_empty ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 704: Assignment to c3_p5_wr_full ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 705: Assignment to c3_p5_wr_count ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 706: Assignment to c3_p5_wr_empty ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 707: Assignment to c3_p5_wr_underrun ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 708: Assignment to c3_p5_wr_error ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 713: Assignment to c3_p5_rd_data ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 714: Assignment to c3_p5_rd_empty ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 715: Assignment to c3_p5_rd_count ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 716: Assignment to c3_p5_rd_full ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 717: Assignment to c3_p5_rd_overflow ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 718: Assignment to c3_p5_rd_error ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 721: Assignment to c3_selfrefresh_mode ignored, since the identifier is never used
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 272: Net <c3_p2_cmd_clk> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 273: Net <c3_p2_cmd_en> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 274: Net <c3_p2_cmd_instr[2]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 275: Net <c3_p2_cmd_bl[5]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 276: Net <c3_p2_cmd_byte_addr[29]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 279: Net <c3_p2_wr_clk> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 280: Net <c3_p2_wr_en> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 281: Net <c3_p2_wr_mask[3]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 282: Net <c3_p2_wr_data[31]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 288: Net <c3_p2_rd_clk> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 289: Net <c3_p2_rd_en> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 296: Net <c3_p3_cmd_clk> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 297: Net <c3_p3_cmd_en> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 298: Net <c3_p3_cmd_instr[2]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 299: Net <c3_p3_cmd_bl[5]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 300: Net <c3_p3_cmd_byte_addr[29]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 303: Net <c3_p3_wr_clk> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 304: Net <c3_p3_wr_en> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 305: Net <c3_p3_wr_mask[3]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 306: Net <c3_p3_wr_data[31]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 312: Net <c3_p3_rd_clk> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 313: Net <c3_p3_rd_en> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 320: Net <c3_p4_cmd_clk> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 321: Net <c3_p4_cmd_en> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 322: Net <c3_p4_cmd_instr[2]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 323: Net <c3_p4_cmd_bl[5]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 324: Net <c3_p4_cmd_byte_addr[29]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 327: Net <c3_p4_wr_clk> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 328: Net <c3_p4_wr_en> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 329: Net <c3_p4_wr_mask[3]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 330: Net <c3_p4_wr_data[31]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 336: Net <c3_p4_rd_clk> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 337: Net <c3_p4_rd_en> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 344: Net <c3_p5_cmd_clk> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 345: Net <c3_p5_cmd_en> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 346: Net <c3_p5_cmd_instr[2]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 347: Net <c3_p5_cmd_bl[5]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 348: Net <c3_p5_cmd_byte_addr[29]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 351: Net <c3_p5_wr_clk> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 352: Net <c3_p5_wr_en> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 353: Net <c3_p5_wr_mask[3]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 354: Net <c3_p5_wr_data[31]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 360: Net <c3_p5_rd_clk> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ipcore_dir\ddr2\user_design\rtl\ddr2.v" Line 361: Net <c3_p5_rd_en> does not have a driver.
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ddr_interface.v" Line 118: Assignment to c3_rst0 ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ddr_interface.v" Line 130: Assignment to c3_p0_cmd_empty ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ddr_interface.v" Line 131: Assignment to c3_p0_cmd_full ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ddr_interface.v" Line 136: Assignment to c3_p0_wr_full ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ddr_interface.v" Line 137: Assignment to c3_p0_wr_empty ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ddr_interface.v" Line 139: Assignment to c3_p0_wr_underrun ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ddr_interface.v" Line 140: Assignment to c3_p0_wr_error ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ddr_interface.v" Line 144: Assignment to c3_p0_rd_full ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ddr_interface.v" Line 147: Assignment to c3_p0_rd_overflow ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ddr_interface.v" Line 148: Assignment to c3_p0_rd_error ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ddr_interface.v" Line 155: Assignment to c3_p1_cmd_empty ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ddr_interface.v" Line 156: Assignment to c3_p1_cmd_full ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ddr_interface.v" Line 161: Assignment to c3_p1_wr_full ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ddr_interface.v" Line 162: Assignment to c3_p1_wr_empty ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ddr_interface.v" Line 164: Assignment to c3_p1_wr_underrun ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ddr_interface.v" Line 165: Assignment to c3_p1_wr_error ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ddr_interface.v" Line 169: Assignment to c3_p1_rd_full ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ddr_interface.v" Line 172: Assignment to c3_p1_rd_overflow ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ddr_interface.v" Line 173: Assignment to c3_p1_rd_error ignored, since the identifier is never used
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\ddr_interface.v" Line 151: Net <c3_p1_cmd_en> does not have a driver.
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\atlys_ddr_test.v" Line 108: Assignment to c3_p0_wr_count ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\atlys_ddr_test.v" Line 109: Assignment to c3_p0_rd_data ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\atlys_ddr_test.v" Line 110: Assignment to c3_p0_rd_count ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\atlys_ddr_test.v" Line 112: Assignment to c3_p0_rd_empty ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\atlys_ddr_test.v" Line 118: Assignment to c3_p1_wr_count ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\atlys_ddr_test.v" Line 120: Assignment to c3_p1_rd_data ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\atlys_ddr_test.v" Line 121: Assignment to c3_p1_rd_count ignored, since the identifier is never used
WARNING:HDLCompiler:1127 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\atlys_ddr_test.v" Line 123: Assignment to c3_p1_rd_empty ignored, since the identifier is never used
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\atlys_ddr_test.v" Line 54: Net <c3_p0_wr_mask[7]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\atlys_ddr_test.v" Line 56: Net <c3_p0_rd_en> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\atlys_ddr_test.v" Line 58: Net <c3_p1_cmd_instr[2]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\atlys_ddr_test.v" Line 59: Net <c3_p1_cmd_bl[5]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\atlys_ddr_test.v" Line 60: Net <c3_p1_cmd_byte_addr[29]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\atlys_ddr_test.v" Line 61: Net <c3_p1_wr_mask[7]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\atlys_ddr_test.v" Line 62: Net <c3_p1_wr_data[63]> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\atlys_ddr_test.v" Line 63: Net <c3_p1_rd_en> does not have a driver.
WARNING:HDLCompiler:634 - "D:\Atlys_info\atlys_ddr_test-20110731\atlys_ddr_test\atlys_ddr_test.v" Line 64: Net <c3_p1_wr_en> does not have a driver.
WARNING:Xst:653 - Signal <c3_p0_wr_mask> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <c3_p1_cmd_instr> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <c3_p1_cmd_bl> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <c3_p1_cmd_byte_addr> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <c3_p1_wr_mask> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <c3_p1_wr_data> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <c3_p0_rd_en> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <c3_p1_rd_en> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <c3_p1_wr_en> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <c3_p1_cmd_en> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <c3_p2_cmd_instr> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <c3_p2_cmd_bl> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <c3_p2_cmd_byte_addr> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <c3_p2_wr_mask> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <c3_p2_wr_data> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <c3_p3_cmd_instr> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <c3_p3_cmd_bl> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <c3_p3_cmd_byte_addr> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <c3_p3_wr_mask> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <c3_p3_wr_data> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <c3_p4_cmd_instr> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <c3_p4_cmd_bl> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <c3_p4_cmd_byte_addr> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <c3_p4_wr_mask> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <c3_p4_wr_data> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <c3_p5_cmd_instr> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <c3_p5_cmd_bl> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <c3_p5_cmd_byte_addr> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <c3_p5_wr_mask> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <c3_p5_wr_data> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <c3_p2_cmd_clk> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <c3_p2_cmd_en> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <c3_p2_wr_clk> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <c3_p2_wr_en> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <c3_p2_rd_clk> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <c3_p2_rd_en> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <c3_p3_cmd_clk> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <c3_p3_cmd_en> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <c3_p3_wr_clk> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <c3_p3_wr_en> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <c3_p3_rd_clk> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <c3_p3_rd_en> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <c3_p4_cmd_clk> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <c3_p4_cmd_en> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <c3_p4_wr_clk> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <c3_p4_wr_en> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <c3_p4_rd_clk> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <c3_p4_rd_en> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <c3_p5_cmd_clk> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <c3_p5_cmd_en> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <c3_p5_wr_clk> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <c3_p5_wr_en> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <c3_p5_rd_clk> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <c3_p5_rd_en> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:647 - Input <sys_clk_p> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <sys_clk_n> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:653 - Signal <s0_axi_awid> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s0_axi_awaddr> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s0_axi_awlen> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s0_axi_awsize> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s0_axi_awburst> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s0_axi_awlock> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s0_axi_awcache> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s0_axi_awprot> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s0_axi_awqos> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s0_axi_wdata> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s0_axi_wstrb> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s0_axi_arid> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s0_axi_araddr> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s0_axi_arlen> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s0_axi_arsize> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s0_axi_arburst> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s0_axi_arlock> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s0_axi_arcache> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s0_axi_arprot> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s0_axi_arqos> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s1_axi_awid> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s1_axi_awaddr> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s1_axi_awlen> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s1_axi_awsize> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s1_axi_awburst> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s1_axi_awlock> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s1_axi_awcache> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s1_axi_awprot> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s1_axi_awqos> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s1_axi_wdata> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s1_axi_wstrb> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s1_axi_arid> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s1_axi_araddr> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s1_axi_arlen> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s1_axi_arsize> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s1_axi_arburst> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s1_axi_arlock> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s1_axi_arcache> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s1_axi_arprot> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s1_axi_arqos> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s2_axi_awid> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s2_axi_awaddr> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s2_axi_awlen> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s2_axi_awsize> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s2_axi_awburst> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s2_axi_awlock> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s2_axi_awcache> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s2_axi_awprot> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s2_axi_awqos> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s2_axi_wdata> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s2_axi_wstrb> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s2_axi_arid> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s2_axi_araddr> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s2_axi_arlen> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s2_axi_arsize> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s2_axi_arburst> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s2_axi_arlock> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s2_axi_arcache> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s2_axi_arprot> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s2_axi_arqos> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s3_axi_awid> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s3_axi_awaddr> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s3_axi_awlen> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s3_axi_awsize> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s3_axi_awburst> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s3_axi_awlock> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s3_axi_awcache> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s3_axi_awprot> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s3_axi_awqos> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s3_axi_wdata> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s3_axi_wstrb> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s3_axi_arid> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s3_axi_araddr> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s3_axi_arlen> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s3_axi_arsize> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s3_axi_arburst> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s3_axi_arlock> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s3_axi_arcache> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s3_axi_arprot> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s3_axi_arqos> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s4_axi_awid> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s4_axi_awaddr> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s4_axi_awlen> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s4_axi_awsize> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s4_axi_awburst> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s4_axi_awlock> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s4_axi_awcache> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s4_axi_awprot> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s4_axi_awqos> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s4_axi_wdata> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s4_axi_wstrb> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s4_axi_arid> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s4_axi_araddr> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s4_axi_arlen> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s4_axi_arsize> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s4_axi_arburst> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s4_axi_arlock> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s4_axi_arcache> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s4_axi_arprot> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s4_axi_arqos> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s5_axi_awid> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s5_axi_awaddr> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s5_axi_awlen> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s5_axi_awsize> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s5_axi_awburst> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s5_axi_awlock> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s5_axi_awcache> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s5_axi_awprot> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s5_axi_awqos> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s5_axi_wdata> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s5_axi_wstrb> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s5_axi_arid> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s5_axi_araddr> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s5_axi_arlen> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s5_axi_arsize> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s5_axi_arburst> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s5_axi_arlock> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s5_axi_arcache> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s5_axi_arprot> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s5_axi_arqos> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s0_axi_aclk> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s0_axi_aresetn> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s0_axi_awvalid> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s0_axi_wlast> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s0_axi_wvalid> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s0_axi_bready> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s0_axi_arvalid> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s0_axi_rready> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s1_axi_aclk> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s1_axi_aresetn> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s1_axi_awvalid> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s1_axi_wlast> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s1_axi_wvalid> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s1_axi_bready> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s1_axi_arvalid> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s1_axi_rready> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s2_axi_aclk> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s2_axi_aresetn> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s2_axi_awvalid> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s2_axi_wlast> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s2_axi_wvalid> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s2_axi_bready> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s2_axi_arvalid> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s2_axi_rready> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s3_axi_aclk> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s3_axi_aresetn> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s3_axi_awvalid> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s3_axi_wlast> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s3_axi_wvalid> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s3_axi_bready> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s3_axi_arvalid> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s3_axi_rready> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s4_axi_aclk> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s4_axi_aresetn> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s4_axi_awvalid> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s4_axi_wlast> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s4_axi_wvalid> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s4_axi_bready> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s4_axi_arvalid> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s4_axi_rready> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s5_axi_aclk> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s5_axi_aresetn> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s5_axi_awvalid> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s5_axi_wlast> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s5_axi_wvalid> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s5_axi_bready> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s5_axi_arvalid> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s5_axi_rready> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:647 - Input <s0_axi_awid> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s0_axi_awaddr> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s0_axi_awlen> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s0_axi_awsize> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s0_axi_awburst> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s0_axi_awlock> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s0_axi_awcache> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s0_axi_awprot> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s0_axi_awqos> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s0_axi_wdata> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s0_axi_wstrb> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s0_axi_arid> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s0_axi_araddr> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s0_axi_arlen> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s0_axi_arsize> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s0_axi_arburst> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s0_axi_arlock> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s0_axi_arcache> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s0_axi_arprot> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s0_axi_arqos> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s1_axi_awid> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s1_axi_awaddr> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s1_axi_awlen> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s1_axi_awsize> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s1_axi_awburst> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s1_axi_awlock> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s1_axi_awcache> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s1_axi_awprot> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s1_axi_awqos> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s1_axi_wdata> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s1_axi_wstrb> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s1_axi_arid> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s1_axi_araddr> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s1_axi_arlen> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s1_axi_arsize> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s1_axi_arburst> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s1_axi_arlock> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s1_axi_arcache> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s1_axi_arprot> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s1_axi_arqos> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s2_axi_awid> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s2_axi_awaddr> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s2_axi_awlen> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s2_axi_awsize> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s2_axi_awburst> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s2_axi_awlock> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s2_axi_awcache> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s2_axi_awprot> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s2_axi_awqos> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s2_axi_wdata> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s2_axi_wstrb> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s2_axi_arid> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s2_axi_araddr> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s2_axi_arlen> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s2_axi_arsize> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s2_axi_arburst> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s2_axi_arlock> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s2_axi_arcache> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s2_axi_arprot> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s2_axi_arqos> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s3_axi_awid> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s3_axi_awaddr> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s3_axi_awlen> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s3_axi_awsize> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s3_axi_awburst> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s3_axi_awlock> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s3_axi_awcache> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s3_axi_awprot> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s3_axi_awqos> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s3_axi_wdata> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s3_axi_wstrb> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s3_axi_arid> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s3_axi_araddr> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s3_axi_arlen> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s3_axi_arsize> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s3_axi_arburst> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s3_axi_arlock> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s3_axi_arcache> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s3_axi_arprot> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s3_axi_arqos> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s4_axi_awid> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s4_axi_awaddr> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s4_axi_awlen> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s4_axi_awsize> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s4_axi_awburst> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s4_axi_awlock> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s4_axi_awcache> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s4_axi_awprot> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s4_axi_awqos> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s4_axi_wdata> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s4_axi_wstrb> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s4_axi_arid> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s4_axi_araddr> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s4_axi_arlen> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s4_axi_arsize> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s4_axi_arburst> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s4_axi_arlock> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s4_axi_arcache> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s4_axi_arprot> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s4_axi_arqos> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s5_axi_awid> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s5_axi_awaddr> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s5_axi_awlen> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s5_axi_awsize> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s5_axi_awburst> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s5_axi_awlock> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s5_axi_awcache> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s5_axi_awprot> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s5_axi_awqos> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s5_axi_wdata> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s5_axi_wstrb> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s5_axi_arid> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s5_axi_araddr> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s5_axi_arlen> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s5_axi_arsize> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s5_axi_arburst> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s5_axi_arlock> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s5_axi_arcache> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s5_axi_arprot> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s5_axi_arqos> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s0_axi_aclk> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s0_axi_aresetn> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s0_axi_awvalid> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s0_axi_wlast> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s0_axi_wvalid> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s0_axi_bready> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s0_axi_arvalid> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s0_axi_rready> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s1_axi_aclk> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s1_axi_aresetn> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s1_axi_awvalid> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s1_axi_wlast> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s1_axi_wvalid> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s1_axi_bready> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s1_axi_arvalid> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s1_axi_rready> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s2_axi_aclk> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s2_axi_aresetn> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s2_axi_awvalid> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s2_axi_wlast> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s2_axi_wvalid> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s2_axi_bready> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s2_axi_arvalid> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s2_axi_rready> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s3_axi_aclk> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s3_axi_aresetn> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s3_axi_awvalid> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s3_axi_wlast> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s3_axi_wvalid> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s3_axi_bready> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s3_axi_arvalid> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s3_axi_rready> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s4_axi_aclk> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s4_axi_aresetn> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s4_axi_awvalid> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s4_axi_wlast> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s4_axi_wvalid> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s4_axi_bready> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s4_axi_arvalid> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s4_axi_rready> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s5_axi_aclk> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s5_axi_aresetn> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s5_axi_awvalid> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s5_axi_wlast> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s5_axi_wvalid> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s5_axi_bready> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s5_axi_arvalid> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <s5_axi_rready> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:653 - Signal <s0_axi_bid> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s0_axi_bresp> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s0_axi_rid> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s0_axi_rdata> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s0_axi_rresp> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s1_axi_bid> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s1_axi_bresp> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s1_axi_rid> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s1_axi_rdata> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s1_axi_rresp> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s2_axi_bid> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s2_axi_bresp> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s2_axi_rid> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s2_axi_rdata> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s2_axi_rresp> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s3_axi_bid> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s3_axi_bresp> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s3_axi_rid> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s3_axi_rdata> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s3_axi_rresp> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s4_axi_bid> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s4_axi_bresp> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s4_axi_rid> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s4_axi_rdata> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s4_axi_rresp> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s5_axi_bid> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s5_axi_bresp> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s5_axi_rid> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s5_axi_rdata> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s5_axi_rresp> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s0_axi_awready> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s0_axi_wready> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s0_axi_bvalid> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s0_axi_arready> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s0_axi_rlast> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s0_axi_rvalid> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s1_axi_awready> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s1_axi_wready> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s1_axi_bvalid> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s1_axi_arready> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s1_axi_rlast> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s1_axi_rvalid> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s2_axi_awready> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s2_axi_wready> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s2_axi_bvalid> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s2_axi_arready> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s2_axi_rlast> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s2_axi_rvalid> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s3_axi_awready> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s3_axi_wready> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s3_axi_bvalid> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s3_axi_arready> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s3_axi_rlast> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s3_axi_rvalid> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s4_axi_awready> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s4_axi_wready> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s4_axi_bvalid> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s4_axi_arready> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s4_axi_rlast> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s4_axi_rvalid> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s5_axi_awready> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s5_axi_wready> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s5_axi_bvalid> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s5_axi_arready> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s5_axi_rlast> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:653 - Signal <s5_axi_rvalid> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst:647 - Input <p0_cmd_byte_addr<0:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <p0_cmd_byte_addr<29:27>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <p0_wr_mask<7:4>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <p0_wr_data<63:32>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <p1_cmd_byte_addr<0:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <p1_cmd_byte_addr<29:27>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <p1_wr_mask<7:4>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <p1_wr_data<63:32>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <p2_cmd_instr> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <p2_cmd_bl> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <p2_cmd_byte_addr> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <p2_wr_mask> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <p2_wr_data> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <p3_cmd_instr> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <p3_cmd_bl> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <p3_cmd_byte_addr> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <p3_wr_mask> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <p3_wr_data> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <p4_cmd_instr> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <p4_cmd_bl> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <p4_cmd_byte_addr> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <p4_wr_mask> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <p4_wr_data> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <p5_cmd_instr> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <p5_cmd_bl> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <p5_cmd_byte_addr> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <p5_wr_mask> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <p5_wr_data> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ui_addr> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ui_dqcount> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <p2_arb_en> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <p2_cmd_clk> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <p2_cmd_en> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <p2_wr_clk> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <p2_wr_en> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <p2_rd_clk> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <p2_rd_en> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <p3_arb_en> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <p3_cmd_clk> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <p3_cmd_en> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <p3_wr_clk> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <p3_wr_en> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <p3_rd_clk> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <p3_rd_en> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <p4_arb_en> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <p4_cmd_clk> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <p4_cmd_en> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <p4_wr_clk> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <p4_wr_en> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <p4_rd_clk> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <p4_rd_en> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <p5_arb_en> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <p5_cmd_clk> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <p5_cmd_en> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <p5_wr_clk> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <p5_wr_en> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <p5_rd_clk> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <p5_rd_en> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <calib_recal> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ui_read> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ui_add> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ui_cs> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ui_sdi> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ui_broadcast> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ui_drp_update> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ui_done_cal> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ui_cmd> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ui_cmd_in> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ui_cmd_en> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ui_dq_lower_dec> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ui_dq_lower_inc> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ui_dq_upper_dec> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ui_dq_upper_inc> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ui_udqs_inc> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ui_udqs_dec> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ui_ldqs_inc> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ui_ldqs_dec> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:653 - Signal <mcbx_dram_ddr3_rst> is used but never assigned. This sourceless signal will be automatically connected to value GND.
WARNING:Xst - Value "1" of property "syn_preserve" is not applicable. List of valid values is "true, false, yes, no" 
WARNING:Xst - Value "1" of property "syn_preserve" is not applicable. List of valid values is "true, false, yes, no" 
WARNING:Xst - Value "1" of property "syn_preserve" is not applicable. List of valid values is "true, false, yes, no" 
WARNING:Xst - Value "1" of property "syn_preserve" is not applicable. List of valid values is "true, false, yes, no" 
WARNING:Xst - Value "1" of property "syn_preserve" is not applicable. List of valid values is "true, false, yes, no" 
WARNING:Xst - Value "1" of property "syn_preserve" is not applicable. List of valid values is "true, false, yes, no" 
WARNING:Xst - Value "1" of property "syn_preserve" is not applicable. List of valid values is "true, false, yes, no" 
WARNING:Xst - Value "1" of property "syn_preserve" is not applicable. List of valid values is "true, false, yes, no" 
WARNING:Xst:647 - Input <MCB_UODATA> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <MCB_UODATAVALID> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <MCB_UOCMDREADY> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <MCB_UO_CAL_START> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:2935 - Signal 'MCB_UIDQLOWERDEC', unconnected in block 'mcb_soft_calibration', is tied to its initial value (0).
WARNING:Xst:2935 - Signal 'MCB_UIDQLOWERINC', unconnected in block 'mcb_soft_calibration', is tied to its initial value (0).
WARNING:Xst:2935 - Signal 'MCB_UIDQUPPERDEC', unconnected in block 'mcb_soft_calibration', is tied to its initial value (0).
WARNING:Xst:2935 - Signal 'MCB_UIDQUPPERINC', unconnected in block 'mcb_soft_calibration', is tied to its initial value (0).
WARNING:Xst:2935 - Signal 'MCB_RECAL', unconnected in block 'mcb_soft_calibration', is tied to its initial value (0).
    WARNING:Xst:2404 -  FFs/Latches <SELFREFRESH_REQ_R1<0:0>> (without init value) have a constant value of 0 in block <mcb_soft_calibration>.
    WARNING:Xst:2404 -  FFs/Latches <SELFREFRESH_REQ_R2<0:0>> (without init value) have a constant value of 0 in block <mcb_soft_calibration>.
    WARNING:Xst:2404 -  FFs/Latches <SELFREFRESH_REQ_R3<0:0>> (without init value) have a constant value of 0 in block <mcb_soft_calibration>.
    WARNING:Xst:2404 -  FFs/Latches <MCB_UICMDIN<0:0>> (without init value) have a constant value of 0 in block <mcb_soft_calibration>.
WARNING:Xst:647 - Input <drp_ioi_addr> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:1710 - FF/Latch <c3_p0_wr_data_17> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_wr_data_16> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_wr_data_15> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_wr_data_14> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_wr_data_13> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_wr_data_12> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_wr_data_11> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_wr_data_10> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_wr_data_9> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_wr_data_8> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_wr_data_7> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_wr_data_6> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_wr_data_5> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_wr_data_4> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_wr_data_3> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_wr_data_2> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_wr_data_1> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_cmd_byte_addr_26> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_cmd_byte_addr_25> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_cmd_bl_5> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_cmd_bl_4> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_cmd_bl_3> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_cmd_bl_2> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_cmd_bl_1> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_cmd_bl_0> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_wr_data_31> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_wr_data_30> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_wr_data_29> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_wr_data_28> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_wr_data_27> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_wr_data_26> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_wr_data_25> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_wr_data_24> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_wr_data_23> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_wr_data_22> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_wr_data_21> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_wr_data_20> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_wr_data_19> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_wr_data_18> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_cmd_byte_addr_4> (without init value) has a constant value of 1 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_cmd_byte_addr_3> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_cmd_byte_addr_2> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_cmd_byte_addr_1> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_cmd_en> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <IODRPCTRLR_MEMCELL_ADDR_2> (without init value) has a constant value of 0 in block <mcb_soft_calibration_inst>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1293 - FF/Latch <DQS_DELAY_INITIAL_7> has a constant value of 0 in block <mcb_soft_calibration_inst>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <MCB_UIDQCOUNT_3> (without init value) has a constant value of 0 in block <mcb_soft_calibration_inst>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <MCB_UIDQCOUNT_2> (without init value) has a constant value of 0 in block <mcb_soft_calibration_inst>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <MCB_UIDQCOUNT_1> (without init value) has a constant value of 0 in block <mcb_soft_calibration_inst>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <MCB_UIDQCOUNT_0> (without init value) has a constant value of 0 in block <mcb_soft_calibration_inst>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <MCB_UICMD> (without init value) has a constant value of 0 in block <mcb_soft_calibration_inst>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <memcell_addr_reg_6> (without init value) has a constant value of 0 in block <iodrp_mcb_controller>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <memcell_addr_reg_5> (without init value) has a constant value of 0 in block <iodrp_mcb_controller>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <memcell_addr_reg_4> (without init value) has a constant value of 0 in block <iodrp_mcb_controller>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <memcell_addr_reg_2> (without init value) has a constant value of 0 in block <iodrp_mcb_controller>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <memcell_addr_reg_6> (without init value) has a constant value of 0 in block <iodrp_controller>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <memcell_addr_reg_5> (without init value) has a constant value of 0 in block <iodrp_controller>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <memcell_addr_reg_4> (without init value) has a constant value of 0 in block <iodrp_controller>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <memcell_addr_reg_2> (without init value) has a constant value of 0 in block <iodrp_controller>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_cmd_byte_addr_24> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_cmd_byte_addr_23> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_cmd_byte_addr_22> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_cmd_byte_addr_21> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_cmd_byte_addr_20> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_cmd_byte_addr_19> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_cmd_byte_addr_18> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_cmd_byte_addr_17> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_cmd_byte_addr_16> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_cmd_byte_addr_15> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_cmd_byte_addr_14> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_cmd_byte_addr_13> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_cmd_byte_addr_12> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_cmd_byte_addr_11> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_cmd_byte_addr_10> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_cmd_byte_addr_9> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_cmd_byte_addr_8> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_cmd_byte_addr_7> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_cmd_byte_addr_6> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_cmd_byte_addr_5> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:2677 - Node <c3_p0_cmd_byte_addr_0> of sequential type is unconnected in block <atlys_ddr_test>.
WARNING:Xst:2677 - Node <c3_p0_cmd_byte_addr_27> of sequential type is unconnected in block <atlys_ddr_test>.
WARNING:Xst:2677 - Node <c3_p0_cmd_byte_addr_28> of sequential type is unconnected in block <atlys_ddr_test>.
WARNING:Xst:2677 - Node <c3_p0_cmd_byte_addr_29> of sequential type is unconnected in block <atlys_ddr_test>.
WARNING:Xst:2677 - Node <c3_p0_wr_data_32> of sequential type is unconnected in block <atlys_ddr_test>.
WARNING:Xst:2677 - Node <c3_p0_wr_data_33> of sequential type is unconnected in block <atlys_ddr_test>.
WARNING:Xst:2677 - Node <c3_p0_wr_data_34> of sequential type is unconnected in block <atlys_ddr_test>.
WARNING:Xst:2677 - Node <c3_p0_wr_data_35> of sequential type is unconnected in block <atlys_ddr_test>.
WARNING:Xst:2677 - Node <c3_p0_wr_data_36> of sequential type is unconnected in block <atlys_ddr_test>.
WARNING:Xst:2677 - Node <c3_p0_wr_data_37> of sequential type is unconnected in block <atlys_ddr_test>.
WARNING:Xst:2677 - Node <c3_p0_wr_data_38> of sequential type is unconnected in block <atlys_ddr_test>.
WARNING:Xst:2677 - Node <c3_p0_wr_data_39> of sequential type is unconnected in block <atlys_ddr_test>.
WARNING:Xst:2677 - Node <c3_p0_wr_data_40> of sequential type is unconnected in block <atlys_ddr_test>.
WARNING:Xst:2677 - Node <c3_p0_wr_data_41> of sequential type is unconnected in block <atlys_ddr_test>.
WARNING:Xst:2677 - Node <c3_p0_wr_data_42> of sequential type is unconnected in block <atlys_ddr_test>.
WARNING:Xst:2677 - Node <c3_p0_wr_data_43> of sequential type is unconnected in block <atlys_ddr_test>.
WARNING:Xst:2677 - Node <c3_p0_wr_data_44> of sequential type is unconnected in block <atlys_ddr_test>.
WARNING:Xst:2677 - Node <c3_p0_wr_data_45> of sequential type is unconnected in block <atlys_ddr_test>.
WARNING:Xst:2677 - Node <c3_p0_wr_data_46> of sequential type is unconnected in block <atlys_ddr_test>.
WARNING:Xst:2677 - Node <c3_p0_wr_data_47> of sequential type is unconnected in block <atlys_ddr_test>.
WARNING:Xst:2677 - Node <c3_p0_wr_data_48> of sequential type is unconnected in block <atlys_ddr_test>.
WARNING:Xst:2677 - Node <c3_p0_wr_data_49> of sequential type is unconnected in block <atlys_ddr_test>.
WARNING:Xst:2677 - Node <c3_p0_wr_data_50> of sequential type is unconnected in block <atlys_ddr_test>.
WARNING:Xst:2677 - Node <c3_p0_wr_data_51> of sequential type is unconnected in block <atlys_ddr_test>.
WARNING:Xst:2677 - Node <c3_p0_wr_data_52> of sequential type is unconnected in block <atlys_ddr_test>.
WARNING:Xst:2677 - Node <c3_p0_wr_data_53> of sequential type is unconnected in block <atlys_ddr_test>.
WARNING:Xst:2677 - Node <c3_p0_wr_data_54> of sequential type is unconnected in block <atlys_ddr_test>.
WARNING:Xst:2677 - Node <c3_p0_wr_data_55> of sequential type is unconnected in block <atlys_ddr_test>.
WARNING:Xst:2677 - Node <c3_p0_wr_data_56> of sequential type is unconnected in block <atlys_ddr_test>.
WARNING:Xst:2677 - Node <c3_p0_wr_data_57> of sequential type is unconnected in block <atlys_ddr_test>.
WARNING:Xst:2677 - Node <c3_p0_wr_data_58> of sequential type is unconnected in block <atlys_ddr_test>.
WARNING:Xst:2677 - Node <c3_p0_wr_data_59> of sequential type is unconnected in block <atlys_ddr_test>.
WARNING:Xst:2677 - Node <c3_p0_wr_data_60> of sequential type is unconnected in block <atlys_ddr_test>.
WARNING:Xst:2677 - Node <c3_p0_wr_data_61> of sequential type is unconnected in block <atlys_ddr_test>.
WARNING:Xst:2677 - Node <c3_p0_wr_data_62> of sequential type is unconnected in block <atlys_ddr_test>.
WARNING:Xst:2677 - Node <c3_p0_wr_data_63> of sequential type is unconnected in block <atlys_ddr_test>.
WARNING:Xst:2404 -  FFs/Latches <c3_p0_wr_data<63:1>> (without init value) have a constant value of 0 in block <atlys_ddr_test>.
WARNING:Xst:2404 -  FFs/Latches <c3_p0_cmd_bl<5:3>> (without init value) have a constant value of 0 in block <atlys_ddr_test>.
WARNING:Xst:2404 -  FFs/Latches <c3_p0_cmd_byte_addr<29:5>> (without init value) have a constant value of 0 in block <atlys_ddr_test>.
WARNING:Xst:1710 - FF/Latch <MCB_UICMD> (without init value) has a constant value of 0 in block <mcb_soft_calibration>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <MCB_UIDQCOUNT_0> (without init value) has a constant value of 0 in block <mcb_soft_calibration>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <MCB_UIDQCOUNT_1> (without init value) has a constant value of 0 in block <mcb_soft_calibration>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <MCB_UIDQCOUNT_2> (without init value) has a constant value of 0 in block <mcb_soft_calibration>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <MCB_UIDQCOUNT_3> (without init value) has a constant value of 0 in block <mcb_soft_calibration>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch <DQS_DELAY_INITIAL_7> has a constant value of 0 in block <mcb_soft_calibration>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <IODRPCTRLR_MEMCELL_ADDR_2> (without init value) has a constant value of 0 in block <mcb_soft_calibration>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <IODRPCTRLR_MEMCELL_ADDR_4> (without init value) has a constant value of 0 in block <mcb_soft_calibration>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <IODRPCTRLR_MEMCELL_ADDR_5> (without init value) has a constant value of 0 in block <mcb_soft_calibration>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <IODRPCTRLR_MEMCELL_ADDR_6> (without init value) has a constant value of 0 in block <mcb_soft_calibration>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <DRP_BKST> (without init value) has a constant value of 0 in block <iodrp_controller>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_cmd_byte_addr_0> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_cmd_byte_addr_1> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_cmd_byte_addr_2> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_cmd_byte_addr_3> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_cmd_byte_addr_4> (without init value) has a constant value of 1 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_cmd_bl_0> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_cmd_bl_1> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_cmd_bl_2> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <memcell_addr_reg_2> (without init value) has a constant value of 0 in block <iodrp_controller>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <memcell_addr_reg_2> (without init value) has a constant value of 0 in block <iodrp_mcb_controller>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_wr_data> (without init value) has a constant value of 1 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <IODRPCTRLR_WRITE_DATA_7> (without init value) has a constant value of 0 in block <mcb_soft_calibration>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <TARGET_DQS_DELAY_7> (without init value) has a constant value of 0 in block <mcb_soft_calibration>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <c3_p0_wr_en> (without init value) has a constant value of 1 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <ddr_interface/u_ddr2/memc3_wrapper_inst/mcb_ui_top_inst/mcb_raw_wrapper_inst/gen_term_calib.mcb_soft_calibration_top_inst/mcb_soft_calibration_inst/iodrp_controller/data_reg_7> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <ddr_interface/u_ddr2/memc3_wrapper_inst/mcb_ui_top_inst/mcb_raw_wrapper_inst/gen_term_calib.mcb_soft_calibration_top_inst/mcb_soft_calibration_inst/iodrp_mcb_controller/data_reg_7> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:2677 - Node <ddr_interface/u_ddr2/memc3_wrapper_inst/mcb_ui_top_inst/mcb_raw_wrapper_inst/gen_term_calib.mcb_soft_calibration_top_inst/mcb_soft_calibration_inst/iodrp_mcb_controller/read_data_7> of sequential type is unconnected in block <atlys_ddr_test>.
WARNING:Xst:2677 - Node <ddr_interface/u_ddr2/memc3_wrapper_inst/mcb_ui_top_inst/mcb_raw_wrapper_inst/gen_term_calib.mcb_soft_calibration_top_inst/mcb_soft_calibration_inst/iodrp_mcb_controller/read_data_6> of sequential type is unconnected in block <atlys_ddr_test>.
WARNING:Xst:2677 - Node <ddr_interface/u_ddr2/memc3_wrapper_inst/mcb_ui_top_inst/mcb_raw_wrapper_inst/gen_term_calib.mcb_soft_calibration_top_inst/mcb_soft_calibration_inst/iodrp_mcb_controller/read_data_5> of sequential type is unconnected in block <atlys_ddr_test>.
WARNING:Xst:2677 - Node <ddr_interface/u_ddr2/memc3_wrapper_inst/mcb_ui_top_inst/mcb_raw_wrapper_inst/gen_term_calib.mcb_soft_calibration_top_inst/mcb_soft_calibration_inst/iodrp_mcb_controller/read_data_4> of sequential type is unconnected in block <atlys_ddr_test>.
WARNING:Xst:2677 - Node <ddr_interface/u_ddr2/memc3_wrapper_inst/mcb_ui_top_inst/mcb_raw_wrapper_inst/gen_term_calib.mcb_soft_calibration_top_inst/mcb_soft_calibration_inst/iodrp_mcb_controller/read_data_3> of sequential type is unconnected in block <atlys_ddr_test>.
WARNING:Xst:2677 - Node <ddr_interface/u_ddr2/memc3_wrapper_inst/mcb_ui_top_inst/mcb_raw_wrapper_inst/gen_term_calib.mcb_soft_calibration_top_inst/mcb_soft_calibration_inst/iodrp_mcb_controller/read_data_2> of sequential type is unconnected in block <atlys_ddr_test>.
WARNING:Xst:2677 - Node <ddr_interface/u_ddr2/memc3_wrapper_inst/mcb_ui_top_inst/mcb_raw_wrapper_inst/gen_term_calib.mcb_soft_calibration_top_inst/mcb_soft_calibration_inst/iodrp_mcb_controller/read_data_1> of sequential type is unconnected in block <atlys_ddr_test>.
WARNING:Xst:2677 - Node <ddr_interface/u_ddr2/memc3_wrapper_inst/mcb_ui_top_inst/mcb_raw_wrapper_inst/gen_term_calib.mcb_soft_calibration_top_inst/mcb_soft_calibration_inst/iodrp_mcb_controller/read_data_0> of sequential type is unconnected in block <atlys_ddr_test>.
WARNING:Xst:1710 - FF/Latch <c3_p0_cmd_en> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <ddr_interface/u_ddr2/memc3_wrapper_inst/mcb_ui_top_inst/mcb_raw_wrapper_inst/gen_term_calib.mcb_soft_calibration_top_inst/mcb_soft_calibration_inst/DQS_DELAY_7> (without init value) has a constant value of 0 in block <atlys_ddr_test>. This FF/Latch will be trimmed during the optimization process.
WARNING:NgdBuild - The value of SIM_DEVICE on instance
   'ddr_interface/u_ddr2/memc3_infrastructure_inst/u_pll_adv' of type PLL_ADV
   has been changed from 'VIRTEX5' to 'SPARTAN6' to correct post-ngdbuild and
   timing simulation for this primitive.  In order for functional simulation to
   be correct, the value of SIM_DEVICE should be changed in this same manner in
   the source netlist or constraint file.
WARNING:NgdBuild:452 - logical net 'N264' has no driver
WARNING:NgdBuild:452 - logical net 'N266' has no driver



as a side note, state is a reg. I don't see how state could change once the machine enters (and "get trapped" into) state 1.
 
Last edited:

BTW, I investigated a little the problem. Looks like c3_clk0 is fired only once, so state always remains at 0 (Very strange behaviour )
 

BTW, I investigated a little the problem. Looks like c3_clk0 is fired only once, so state always remains at 0 (Very strange behaviour )

Brother, the clock is an output of a module instantiated. So try to find whether the clock is generated properly in the ddr_interface module.
 

looks like the PLL doesn't work...
At this point I start suspecting that my Atlys board has a different DDR2 RAM memory chip... and of course the MIG-generated core fails
 

That seems unlikely. My mother always taught me to "never suspect non-working PLLs and different-than-specced RAM chippies when your own mistakes will suffice as explanation".

The non-working PLL is easy enough to check. Just add another clock output to your PLL core (assuming you used core generator). Divide it down to whatever you feel like. Or just use the same clock output, whatever you feel like today. It's like cooking. ;) Then use that to clock a counter, and send the counter MSBs to some leds for debug. Or put a scope on it, whichever is quicker for you.

And you can always email digilent to ask if they have used different ram chips. But I doubt it... Occam's razor and all that.
 

If it's stuck in state 0, it could also be that calibration hasn't completed [ if (calib_done[1]) state <= 1 ] . Can you monitor c3_calib_done on an external pin or LED?
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top