Formal port in component <euclid> must be an identifie

Status
Not open for further replies.

midovambir

Newbie level 6
Joined
Aug 26, 2006
Messages
12
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,336
i found this error during simulating my vhdl code......can any one help me.
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…