For Xilinx If GWE release at the user clock edge, could the D flipflop misfunction

Status
Not open for further replies.

xuexucheng

Full Member level 2
Joined
May 6, 2010
Messages
145
Helped
4
Reputation
8
Reaction score
4
Trophy points
1,298
Activity points
2,343
Hi, nice guys,

As you konw, the GWE of XILINX FPGA is synchronous to config clock. If the GWE released at the user clock edge, could the D flipflop misfunction?
I find something which confused me. The D flipflop's init value is 0. The D flipflop is set to SRLOW. The D input is connected to logic 1, the SR is also connected to logic 1.
I think the SR is high prioprity. So the D flipflop should be 0 at output. But we can get a clock cycle of logic 1 occasionally at the D output when start up.
Could anybody give some comments?

Thanks in advance!
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…