[SOLVED] fanout problem while compiling vhdl code in libera software

Status
Not open for further replies.

Nithyaselvaraj

Newbie level 1
Joined
Feb 9, 2016
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
8
Hi,
I have declared 4 input port and 1 output port, after compilation in my compile report it is taking only that output port. not at all taking my input ports.
can anyone help me to solve



Thanks.
 

No one here the super natural power to remotely know your VHDL code and come up with an answer.
You need to post your code (*follow the rules for posting the code*) + the test bench + the compilation report in order for people to help you.
 

Status
Not open for further replies.

Similar threads

Cookies are required to use this site. You must accept them to continue using the site. Learn more…