Explanation of timescale 1ns/1ps in Verilog

Status
Not open for further replies.

prshanth dk

Newbie level 1
Joined
Aug 29, 2005
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,288
please can anyone explain the use of writing
`timescale 1ns/1ps
in verilog
am in learning stage
regards

advance thnx
 

timescale verilog

`timescale is used for specifying reference time unit for simulator.


example : `timescale 10ns/1ns

normally it is used in testbanch top level only and tells all subsequent hard coded delays how long to wait for etc.

#5 , now means wait for 50ns etc


google would tell you this
 
timescale 1ns 1ps

mean :

timescale <reference_time>/ <precision>

Your simulation will run until reference_time (1ns) with precision mention in <precision> (1ps).
 
time scale in verilog

`timescale 1ns/1ps

means ur time scale is ns with resolution OR least count of 1ps

#1 ; // 1ns delay
#0.001; // 0.001 ns this is the minimum delay you can have with this time scale!
#0.0001; // this will give 0 ns delay!!

Hope these example helps you understand the verilog timescale!!
 
timescale in verilog

OK, I have the same question, and now, I see
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…