Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

ERROR: In process testbench.vhd:stim_proc Signal EXCEPTION

Status
Not open for further replies.

raghava

Member level 2
Joined
Jul 31, 2008
Messages
51
Helped
3
Reputation
6
Reaction score
3
Trophy points
1,288
Activity points
1,870
HI all,

For the following VHDL code, I am stuck with this error message.
This error is in procedure PrepareInput . Can anybody tell me what is the mistake I have done in that procedure.

ERROR: In process testbench.vhd:stim_proc
Signal EXCEPTION_ACCESS_VIOLATION received

***************
ARCHITECTURE behavior OF testbench IS


-- Clock period definitions
constant i_clk_period : time := 1us;

-- internal signal declaration....
type memory_0 is array (0 to IR*IC-1) of integer;
type memory_1 is array (0 to Cool of integer;
type memory_2 is array (0 to Cool of real;
type memory_3 is array (0 to IR*IC-1) of real;
type memory_4 is array (0 to 53) of std_logic_vector (7 downto 0);

signal pixelImage, thresh, RTL_output : memory_0;
signal dx, dy : memory_1;
signal gaussian, kernel, non : memory_2;
signal p, conv_in, ix, iy, ix2, iy2, ixiy : memory_3;
signal A_matrix, B_matrix, C_matrix, cornerness, non_max, conv_out : memory_3;
signal header_data : memory_4;
signal start_count: std_logic;
signal done: std_logic;
signal index : integer:= 0;


procedure PrepareInput is
variable inLIne: line;
variable c_buf: character;
variable int_text : integer:= 0;
type data_file_t is file of text;
-- file file_in : data_file_t open READ_MODE is "../data/input/lena_64_64.bmp";
file file_in : text open read_mode is "../data/input/lena_64_64.txt";
begin
report " Prepare Input is going on";
dx(0) <= -1; dy(0) <= -1;
dx(1) <= 0; dy(1) <= -1;
dx(2) <= 1; dy(2) <= -1;
dx(3) <= -1; dy(3) <= 0;
dx(4) <= 0; dy(4) <= 0;
dx(5) <= 1; dy(5) <= 0;
dx(6) <= -1; dy(6) <= 1;
dx(7) <= 0; dy(7) <= 1;
dx(Cool <= 1; dy(Cool <= 1;

-- Sigma = 1.4 ---g33
-- gaussian(0) <= 0.0924; gaussian(1) <= 0.1192; gaussian(2) <= 0.0924;
-- gaussian(3) <= 0.1192; gaussian(4) <= 0.1538; gaussian(5) <= 0.1192;
--gaussian(6) <= 0.0924; gaussian(7) <= 0.1192; gaussian(Cool <= 0.0924;

while(not endfile(file_in)) loop
report " entering loop";
readline(file_in, inLIne);
exit when endfile (file_in);
read(inLIne, int_text);
-- read(file_in, c_buf);
-- pixelImage(index+1) <=integer'value(s);
-- pixelImage(index+1) <=character'pos(c_buf);
-- pixelImage(index) <= int_text;
-- index <= index + 1;
end loop;
report " out of lopp";

file_close(file_in);

end PrepareInput;

begin

process
begin
PrepareInput; -- procedure calling
end process;

end;
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top