error in a<=postponed b after 10ns;

Status
Not open for further replies.

hulk789

Junior Member level 3
Joined
Jul 18, 2015
Messages
27
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
211
Code:
entity concurr is
port (a:out bit;b:in bit);
end concurr;

architecture Behavioral of concurr is
signal z,t:bit;
begin
a<=postponed b after 10ns;

end Behavioral;

Why there is error in the following line
a<=postponed b after 10ns;
 

Because it's no legal VHDL syntax. Postponed would be inserted before a concurrent assignment. Presume you know that it's only relevant in simulation.
Code:
postponed  a<=b;
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…