Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Doubt about de-asserting a reset and generating a peripheral clock

Status
Not open for further replies.

prabhu.er

Newbie level 5
Joined
Oct 13, 2007
Messages
9
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,357
Dear All,

In Digital Circuit Design When we de-assert the reset signal.

What happen before the clock oscillating we de-assert the reset. that is reset is de-asserted but till clock is did not come from clock generator ( clock is generated by internal clock generator). In board level how this design work. If any problem arise due to this help me.

Regards,
Prabhu
 

reset clock

Depends on how the logic is designed. If you are synchronizing the reset to use synchronous reset in your design, the synchronization of reset will delayed until the clock arrives and also the output data from the FFs. If you are using asynchronous reset, only the output data will be delayed until the clock arrives.

In either case, I don't see any issues. Usually on board enough time is given between assertion and de-assertion of reset before starting normal operation.
 

generated clock reset

think of start up time of oscillator

Added after 15 seconds:

jitter and unstable clk
 

clock reset design

From your question, i guess there is no issue as such in board level.
Can you make your question more clear, the background of this doubt

regards,
freak
 

reset assertion and de-assertion

Ok, Now I try to explain clearly about my doubt.

Just One processor it is working under 300MHz. This Processor is interfaced with one peripheral.

Processor and peripheral both have same reset called sys_rst_n (active low). So, whenever processor reset is de-asserted peripheral reset also de-asserted.

Processor have clock called as "sys_clk". peripheral clock called as "p_clk". For power saving purpose p_clk is generated (using internal clock divider circuit, source clock is sys_clk) and send to the peripheral whenever need to use otherwise p_clk is zero.

my doubt is what happen in peripheral logic during after sys_rst_n de-assertion and before the p_clk generation.

This is allowable in design and it cause any problem in board work or silicon.
 

Re: Clock Vs Reset

I think this should not pose any issues. Basically the peripheral is out of reset and ready but it does not have an active clock. So it really is in a disable state. Assuming the processor is the only device that controls it, the peripheral only need to be in enable state whenever processor need to talk to it. Since the processor give it an active clock to wake it up, everything should be fine.

- Hung
 

Re: Clock Vs Reset

Thank you very much to all

Now I got clear idea.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top