syedshan
Advanced Member level 1
- Joined
- Feb 27, 2012
- Messages
- 463
- Helped
- 27
- Reputation
- 54
- Reaction score
- 26
- Trophy points
- 1,308
- Location
- Jeonju, South Korea
- Activity points
- 5,134
Hi.
Note that It is a test bench and in_cmd is the signal suffering When I want to make it High, it gets Dont care.why?
Best
Shan
Note that It is a test bench and in_cmd is the signal suffering When I want to make it High, it gets Dont care.why?
COMPONENT data_gen_top
PORT(
clk, rst : IN std_logic;
cmd_in_UI : IN std_logic_vector(2 downto 0);
phy_init_done : in std_logic;
in_cmd : in std_logic_vector(1 downto 0); --bit 1 : valid command, bit 0 command itself
..
..
signal in_cmd : std_logic_vector(1 downto 0) := "00";
wait for clk_period*10;
in_cmd <= "10";
wait for clk_period*2;
in_cmd <= "00";
...
Best
Shan