Does vhdl code support more than one architecture ?

Status
Not open for further replies.

lahrach

Full Member level 3
Joined
Feb 6, 2009
Messages
170
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,296
Activity points
2,285
VHDL question

is a vhdl code support more than one architecture ?

friendly
 

Re: VHDL question

your question is not clear....explain more...you actually design architecture using vhdl.......
 

Re: VHDL question

lahrach said:
is a vhdl code support more than one architecture ?

friendly

Yes it does .. for one entity you can have multiple architectures .. say arch1, arch2, arch3 .. etc. and when you go for implementation or simulation, you need to specify one architecture at a time .. this is done using (configuration) statement.
 

Re: VHDL question


absolutely yes
 

Status
Not open for further replies.

Similar threads

Cookies are required to use this site. You must accept them to continue using the site. Learn more…