Distributed Arithmetic in VHDL

Status
Not open for further replies.

Elnaz

Newbie level 5
Joined
Mar 22, 2007
Messages
10
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,346
Hi everybody,

I need a simple vhdl code for signed distributed arithmetic. I want to
calculate the result of an inner product with distributed arithmetic
(FIR filter). Can you guide me to some sources please?
 

**broken link removed**
 

I had seen that doc before; but I don't have access to the codes which that lab is all about.
 

Message is unavailable.
 


Thanks, but this FIR is the standard implementation not the one with distributed arithmetic method and lookup table etc.
 

Status
Not open for further replies.

Similar threads

Cookies are required to use this site. You must accept them to continue using the site. Learn more…