Critical path while using xilinx ise

Status
Not open for further replies.

Chinmaye

Full Member level 3
Joined
Jan 18, 2016
Messages
164
Helped
0
Reputation
0
Reaction score
1
Trophy points
1,298
Activity points
3,145
Dear all,
When i tried to synthesize my verilog code in ISE, my timing report said,

Minimum period: 4.490ns (Maximum Frequency: 222.712MHz)
Minimum input arrival time before clock: 4.358ns
Maximum output required time after clock: 0.728ns
Maximum combinational path delay: No path found

From what i understand, Maximum frequency is determined by the path that takes a longer time to execute. How do i trace that path?
 

Your timing report should identify your slowest path.
 

Where do i check that? In the synthesis report right?
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…