creating verilog netlist from schematic

Status
Not open for further replies.

nishi123

Newbie level 3
Joined
Dec 19, 2013
Messages
3
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
24
How can i generate verilog netlist from schematic using Virtuoso 6.1.6?
 

There is in CIW window menu Tools and there is NC-Verilog. Run it and choose the correct schematic. You can generate verilog file there.
 
Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…