Couldn't find design package

Status
Not open for further replies.

ranayehya

Junior Member level 3
Joined
Apr 13, 2018
Messages
26
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
203
Hello, all!
I am new in UVM and I have this error while compiling my design

Code:
Could not find the package (design_pkg).  Design read will continue, but expect a cascade of errors after this failure.  Furthermore if you experience a vopt-7 error immediately before this error then please check the package names or the library search paths on the command line.
and when I revise my design with a working design, I found nothing different.
Any help?
 

Try this:

Code:
// Similar to package example shown below
`include "spi_test_lib_pkg_file_includes.sv"
 
module tb;
 
   // All package imports
   import uvm_pkg::*;
   import spi_test_lib_pkg::*;
...
...
Example (uvm_pkg.sv) of how a package looks:

`ifndef UVM_PKG_SV
`define UVM_PKG_SV
 
`include "uvm_macros.svh"
 
package uvm_pkg;
 
  `include "dpi/uvm_dpi.svh"
  `include "base/uvm_base.svh"
  `include "tlm1/uvm_tlm.svh"
  `include "comps/uvm_comps.svh"
  `include "seq/uvm_seq.svh"
  `include "tlm2/uvm_tlm2.svh"
  `include "reg/uvm_reg_model.svh"
 
endpackage
 
`endif




Also look at this link
https://verificationacademy.com/forums/uvm/compiling-uvm-express-examples-modelsim-10.1b
 
Last edited by a moderator:

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…